<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

基于FPGA的Aurora 8b10b光通信測試方案

CHANBAEK ? 來源:FPGA Zone ? 作者:FPGA Zone ? 2023-10-01 09:48 ? 次閱讀

概述

本文開源一個FPGA高速串行通信項目:Aurora 8b10b光通信。7 Series FPGAs Transceivers Wizard IP是Xilinx官方7系列FPGA的高速串行收發器,本工程主要是圍繞該IP核采用Vivado提供的例程創建。

下面主要介紹一下7 Series FPGAs Transceivers Wizard IP核的參數配置以及如何建立該項目Vivado工程。

硬件平臺

軟件平臺 :Vitis 2019.2;

硬件平臺 :XC7Z035FFG676-2;

IP核參數配置

GT Selection

圖片

GT Selection相關參數配置保持默認即可;

Line Rate, RefClk Selection

圖片

Protocol :此選項下有多種協議可供選擇,這里選擇 Aurora 8b10b single lane 4bytes,對外接口也就是32位;

TX & RX :收發器是獨立的,可以選擇不同的編碼和速度,對于GTX收發器最大是10.3125Gbps,這里選擇5Gbps。參考時鐘必須和FPGA板卡上的時鐘一致,為125MHz。

圖片

Transceiver Selection :該項目采用的FPGA型號為MK7325,有兩個SFP接口,分別連接到GTX_X0Y12和GTX_X0Y13;

Encoding and Clocking

圖片

TX & RX :內部數據寬度設置為20(16bit數據利用8b10b編碼為20bits),兩個內部數據拼接為1個32bit外部數據,編碼方式采用8b10b編碼,這種編碼方式最主要的目的是保持 直流平衡,根據特定的編碼表實現數據傳輸過程中0和1的數量基本一致,減少連續0或1的情況。DRP/System Clock是動態重配置/系統工作時鐘,通過DPR可以根據所配置線速率和協議實時調整收發器參數。DRP時鐘頻率設置為100MHz,可以通過外部PLL產生,利用DRP時鐘初始化一些GTX控制器信號或者參數;

圖片

Synchronization and Clocking :TXUSERCLK,和 RXUSERCLK 時鐘的選擇一般TXUSERCLK可以直接選擇TXOUTCLK作為用戶時鐘,而RXUSERCLK可以選擇TXOUTCLK也可以選擇RXUSERCLK,還可以選擇RXPLLREFCLK作為用戶時鐘。這里就涉及到一個同步的概念,如果時鐘不一致必須設置TX和RX的 BUFFER,默認都是設置的。另外輸入TX的發送時鐘和RX的恢復時鐘差異交大,可能會導致RX BUFFER的溢出或者讀空,而導致數據出錯。比較好的解決辦法就是設置RXUSERCLK為RXOUTCLK,就是利用恢復時鐘作為用戶時鐘,這樣就很好的解決了同步的問題了。

Comma Alignment and Equalization

圖片

Comma Value :設置K碼為K28.5,K碼用于修正數據對齊,其它選項保持默認配置即可;

PCIe SATA PRBS

圖片

保持默認配置即可;

CB and CC Sequence

圖片

Clock correction :選擇支持時鐘校準,設置每5000個字節發送一組CC Seq,因為從數據流中恢復出來的時鐘和本地產生的FIFO讀側的時鐘的頻率不可能完全一致,所以才要進行CC處理。這個周期是根據發送側和接收側的時鐘差算出來,然后再根據實際調試結果進行一定的修正。

Summary

圖片

根據上圖可以看出,TXUSRCLK和RXUSRCLK頻率為250M,TXUSRCLK2和 RXUSRCLK2為125M,也就是我們寫代碼要使用的時鐘。

Vivado工程創建

右擊IP核,選擇 open IP example design;

圖片

其中,gt aurora GT FRAME GEN模塊功能是產生要發送的測試數據,gt aurora GT FRAME CHECK模塊檢查回環收到的數據是否正確;

由于開發板的SFP屏蔽籠的tx_disable引腳都默認接了上拉電阻。要使收發回環測試可以正常進行,必須要將tx_disable引腳拉低。因此,在example design的頂層模塊,添加2個sfp_tx_disable引腳,且均置為0即可;

將drp_clk直接連入sysclk,官方例程這個時鐘是引腳進來的加了bufg,該工程用PLL產生;

接下來我們將在硬件上實現回環傳輸測試數據的功能,首先我們需要從外部給出一個自由時鐘,hb_gtwiz_reset_all_in復信號是高電平有效,用戶直接拉低即可。由于開發板的SFP屏蔽籠的tx_disable引腳都默認接了上拉電阻。要使收發回環測試可以正常進行,必須要將tx_disable引腳拉低;

最后在示例工程里添加必要的ILA,去抓取對應的信號。

功能測試

本工程實現功能為光纖信號8b10b回環傳輸,FPGA板上測試結果如下圖所示,可以看到gt0_error_count_i信號保持為0,也就是說8b10b光通信傳輸正常。

圖片

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1603

    文章

    21337

    瀏覽量

    593753
  • Xilinx
    +關注

    關注

    70

    文章

    2121

    瀏覽量

    119473
  • 串行通信
    +關注

    關注

    4

    文章

    536

    瀏覽量

    35034
  • 光通信
    +關注

    關注

    19

    文章

    782

    瀏覽量

    33672
  • Vivado
    +關注

    關注

    18

    文章

    790

    瀏覽量

    65257
收藏 人收藏

    評論

    相關推薦

    把握光通信行業演變脈動 CIOE2012光通信展即將起航!

    下一代PON,100G相干光通信、PTN/OTN等熱門技術的開發中,可以預計其成熟度和性價比將進一步改善。 隨著“寬帶中國”上升到國家戰略,中國得天獨厚的優勢將使光通信制造企業必然有一個更加良好
    發表于 08-16 09:43

    Aurora 8b/10b IP核問題

    小弟最近在調用Aurora 8b/10b IP模塊時,在用modelsim功能仿真時,一切正常。 但是直接使用了例化后的example,并將Tx和Rx形成了回路下到FPGA板子上
    發表于 03-09 10:58

    FPGA光通信中的應用

    求問,FPGA光通信的切合點多么?我研究生是做光通信的,想自學FPGA,想把兩者結合起來。希望大神可以舉出幾個例子或者方向,詳細點,比如FPGA
    發表于 11-05 09:53

    可見光通信

    有沒有搞可見光通信的,討論一下方案
    發表于 08-06 19:26

    淺析紫外光通信技術

    紫外光通信系統是一種新型的通信手段,與常規的通信系統相比,有很多優勢。由于紫外線主要以散射方式傳播,并且傳播路徑有限,采用紫外光通信系統具有一定的繞過障礙物的能力,非常適用于近距離抗干
    發表于 06-18 08:00

    Aurora IP 8b10b如何生成bitfile?

    我開發了一個應用程序,包括Userapp,Aurora IP 8b10b v8.3,兩個FIFO(Tx和Rx)和sram模塊。我使用ISim模擬了總應用程序。我得到了所需的結果?,F在,我的疑問
    發表于 03-30 08:49

    極光8b10b鏈接起伏怎么回事

    (不知何故)和GTPE2_CHANNEL的TxOutClkLock = 1和aurora_8b10b_v8_3_CLOCK_MODULE的PLL_NOT_LOCKED為0。在沒有GTREFCLK
    發表于 06-18 11:25

    用于26Km光纜的Aurora IP v11.0通道上行/上行故障的解決辦法?

    8b / 10b IP的問題。此IP中使用即時NFC。光通信應該在50公里處工作。使用15公里光纖電纜進行數據通信是成功的。但是,當連接26 km光纜時,通道向上和向上通道不穩定。它
    發表于 08-06 09:34

    如何使用Aurora 8B / 10B建立僅傳輸?

    你好,我正在嘗試使用Aurora 8B / 10B建立僅傳輸(流媒體)?,F在使用Vivado 2014.4進行模擬階段。我知道GTXE2_COMMON原語需要在設計中使用以包含一個QUAD PLL
    發表于 08-14 08:49

    怎么禁用Aurora IP Core 8B / 10B中的時鐘補償功能?

    大家好,我使用的是Vivado 2016.4和Virtex 7 XC7VX485T FPGA。我需要知道是否有一個選項可以禁用Aurora IP Core 8B / 10B中的時鐘補償
    發表于 08-18 09:43

    如何在KC705-kintex 7中實現極光8b10b?

    嗨,我正在KC705-kintex 7中實現極光8b10b通過SMA電纜在J7和J8引腳上提供外部時鐘源(ML505-virtex5)的參考信號。 clcok源工作正常。但在我的設計中PLL沒有被鎖定。這是否意味著我沒有得到時鐘?謝謝,Abinaya
    發表于 08-20 14:05

    可見光通信原理及硬件方案 精選資料分享

    可見光通信基本原理在正常照明前提下,將信息調制到LED燈發出的可見光中,接收端利用光電檢測器(PD)將可見光并轉換為電信號,并從中解調出相應的調制信息?;诳梢?b class='flag-5'>光通信,太速硬件以高速
    發表于 07-23 07:41

    可見光通信 調制解調技術 家庭機器人 可見光通信應用 原理及硬件方案 精選資料分享

    可見光通信原理及硬件方案可見光通信基本原理在正常照明前提下,將信息調制到LED燈發出的可見光中,接收端利用光電檢測器(PD)將可見光并轉換為電信號,并從中解調出相應的調制信息?;诳梢?b class='flag-5'>光通信
    發表于 07-27 06:10

    看得見的無線通信技術—可見光通信

    深圳市市民中心一樓的展廳進行了公開展示,實現了幀率 60 FPS 的 8 K 視頻在線直播演示,既激發了大眾科技創新的熱情,也推動了可見光通信技術的科普宣傳。   為了測試不同速率等級可見光收發模塊
    發表于 05-17 15:21

    無線光通信是什么意思,它的應用有哪些?

    無線光通信是什么意思,它的應用有哪些? 光通信分為有線光通信和無線光通信兩種。其中,有線光通信
    發表于 03-13 09:49 ?8414次閱讀
    無線<b class='flag-5'>光通信</b>是什么意思,它的應用有哪些?
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>