<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

旋轉環境下基于FPGA的多通道數據采集系統設計

FPGA之家 ? 來源:AET電子技術應用 ? 2023-08-08 09:25 ? 次閱讀

摘要:

為了滿足某大型旋轉機械設備在監測過程中實時性高精度多通道的采集需求,提出了一種基于FPGA的多通道振動信號采集檢測系統的設計方案。系統采用主/從式FPGA架構,在強噪聲環境下實現了采樣頻率為100 kHz的128通道并行實時數據采集功能。然后通過設計一種參數可調的隨機共振信號檢測系統,提高了信號信噪比,增強了系統在旋轉環境下檢測的準確性。經測試驗證,該系統具有良好的實時性、穩定性和有效性。

0 引言

隨著現代工業的飛速發展,人們對旋轉設備的需求愈加迫切,如風力發電、大型煉鋼設備等旋轉設備的應用。大型旋轉機械設備雖然極大地提高了生產率,但其突發性的故障率偏高,一旦停機就會造成較大的損失[1]。加強對旋轉機械的狀態檢測和診斷工作,有助于減少旋轉機械故障率,大幅度降低機械的維修費用和縮短維修時間,提高設備運行的經濟效益。傳統的多通道振動信號采集系統多以DSPARM作為控制核心,存在功能簡單、通道數少、時鐘頻率低和實時性差等缺點[2-3]。 與DSP和ARM相比,FPGA在數據采集領域發揮著重要的作用。FPGA具有時鐘頻率高、內部延時小、運算速度快、開發周期短、抗干擾能力強、編程配置靈活、內部資源豐富等優點,非常適用于數據高數采集和處理[4-6]。本文提出了一種基于主/從式FPGA架構的128通道振動信號檢測方案,系統采用了高精度A/D芯片AD7606,同時結合了FPGA的并行數據處理能力實現了采集信號的隨機共振檢測,保證了采集系統的準確性和穩定性。

1 系統總體設計

本系統總體設計如圖1所示,系統由信號調理系統、FPGA控制系統和FPGA數據處理系統三部分構成。其中信號調理系統由放大濾波電路和AD7606采集電路構成,主要實現將傳感器發出的微弱信號進行放大和濾波后發送到AD7606芯片中,將采集到的模擬信號轉化為數字信號。FPGA控制系統由主FPGA控制系統和從FPGA控制系統兩部分組成。從FPGA控制系統由16片Xilinx公司生產的Spartan3系列的FPGA構成,主要實現程控放大器AD8250和AD8253的信號放大控制和AD7606同步數據采集控制。主FPGA控制系統由Xilinx公司生產的Spartan6系列的FPGA構成,主要實現參數配置、同步時鐘生產、數據緩存等。FPGA數據處理系統由主FPGA實現數據的時序約束和隨機共振檢測處理功能,通過采用主從式FPGA架構,合理分配FPGA資源,完成整個系統的任務調度,克服FPGA輸出時的亞穩態現象,使得系統具有性能穩定、數據處理能力強、抗干擾性好等特點。

92fac45e-3584-11ee-9e74-dac502259ad0.jpg

2 部分硬件電路設計

2.1 信號調理電路設計

由于傳感器輸出信號范圍包含±0.025 V、±0.05 V、±0.1 V、±0.5 V 4個擋位,為保證采集精度,不能同時對整個量程進行放大,需要采取分級放大的方式,且增益可調。本系統采用圖2所示的兩級放大電路結構,由于系統通過滑環進行供電,在強噪聲環境下傳感器輸出信號首先利用AD8253和AD8250實現四階的巴特沃斯低通濾波器提高信噪比。

931a1cb4-3584-11ee-9e74-dac502259ad0.jpg

2.2 AD7606采集電路設計

A/D數模轉換器是整個采集系統的核心,影響著系統的采集精度、采集速率和數據吞吐量,所以A/D芯片選型是采集系統中最關鍵的一步。系統采用ADI公司的高精度、低功耗、電荷再次分配逐次逼近型模數轉換芯片AD7606。該產品是一款8通道16位真差分具有二階抗混疊模擬濾波電路的同步采樣模數轉換芯片。本系統采用16片AD7606芯片構成128通道的數據采集電路。AD7606硬件電路設計如圖3所示,將轉換芯片CONVST A與CONVST B短接在一起并施加同一個轉換信號能夠實現8通道的數據同步轉換。

933837ee-3584-11ee-9e74-dac502259ad0.jpg

3 軟件設計

FPGA軟件設計主要劃分為主FPGA控制系統和從FPGA控制系統兩部分。其中,主FPGA控制系統主要完成同步時鐘生成、參數配置、數據緩存、隨機共振檢測等功能。而從FPGA主要完成數據組幀、程控放大控制和AD7606數據采集控制等。下面對部分核心模塊的設計進行介紹。

3.1 數據采集模塊

傳感器產生的微弱信號經過濾波放大電路后,將其輸送入AD7606芯片實現數據采集功能。FPGA主要控制AD7606的轉換信號CONVST AB、片選信號CS和時鐘信號SCLK,實現芯片的采樣率調整和采樣啟停功能。如圖4所示,本模塊通過控制AD7606工作在并行數據輸出模式下,實現了系統在旋轉環境下采樣率為100 kHz的高速采集功能。從仿真圖中可以看出,采用并行輸出的方式能夠穩定有效地將模擬信號轉換為數字信號進行處理,經過多次測試表明,通過采用并行數據輸出的方式,該芯片的采樣誤差小于0.1%。

9352fa2a-3584-11ee-9e74-dac502259ad0.jpg

3.2 數據緩存模塊

由于本系統為128路振動信號采集系統,每完成一次采集會產生大量的待處理數據,因此,設計了一種嵌套式的乒乓傳輸緩存方式,實現系統所需實時性數據采集功能。相比于傳統的乒乓式緩存[7],其效率更高,實用性更強。如圖5所示,本模塊有效地利用FPGA內部IP核資源產生兩個不同的塊隨機存取存儲器(Random Access Memory,RAM)和先入先出隊列(First Input First Output,FIFO)進行嵌套式的乒乓緩存。通過設置兩個不同的使能信號WR_EN1和WR_EN2,實現了塊RAM_1、塊RAM_2之間的乒乓緩存和FIFO_1、FIFO_2之間的乒乓緩存。如此周而復始,構成了嵌套式乒乓緩存。通過數據緩存模塊可以很好地協調數據流在各模塊之間穩定、實時有效地傳輸和處理。

936f54ae-3584-11ee-9e74-dac502259ad0.jpg

3.3 隨機共振檢測模塊

由于系統處于強噪聲干擾的環境下,雖然添加了四階的巴特沃斯低通濾波電路,但在實際工程中旋轉環境下還是會產生大量的無法濾除的噪聲信號使有效的振動信號淹沒在噪聲中,無法正常檢測。所以采用隨機共振的檢測方法,在參數匹配的情況下將能量從噪聲轉移到有用信號中,使得輸出信噪比提高。下面依次從隨機共振的MATLAB仿真和FPGA實現兩個方面進行介紹。

3.3.1 隨機共振的MATALB仿真

9393feee-3584-11ee-9e74-dac502259ad0.jpg

式中,a、b為非線性的雙穩態系統參數,通常取a=1,b=1。

為了得到隨機共振的輸出,系統采用4階龍格-庫塔數值方法對式(1)進行求解[10],其算法如式(2)所示:

93aa0446-3584-11ee-9e74-dac502259ad0.jpg

其中,xi表示x(t)的第i個采樣點,sni表示對輸入信號的第i個采樣點,計算步長h=1/f,f是對信號s(t)=Asin(2πft)+η(t)的采樣頻率,k為調整系數。隨機共振的MATLAB仿真圖如圖6所示,從上到下分別為原始有效信號、有效信號淹沒在噪聲中和隨機共振檢測輸出。由仿真圖可知,通過采用隨機共振檢測可以提高輸出信號的信噪比。

93c2d5c0-3584-11ee-9e74-dac502259ad0.jpg

3.3.2 FPGA實現隨機共振檢測

隨機共振檢測算法由主FPGA通過采用補碼形式的定點數來實現郎之萬方程的求解,系統采用4階龍格庫塔的迭代方式,利用FPGA的并行數據處理能力實現了淹沒在噪聲中的振動信號的隨機共振檢測,本模塊的流程圖如圖7所示。將求解出的數據發送到上位機進行實時顯示,圖8(a)是未使用FPGA實現的隨機共振檢測算法,由圖可知有效信號完全淹沒到噪聲中,無法識別。圖8(b)反映淹沒在噪聲中的有效信號經過隨機共振模塊后檢測出原有振動信號的頻率,增強了輸出信號的信噪比。

93d951ec-3584-11ee-9e74-dac502259ad0.jpg

93e8bb5a-3584-11ee-9e74-dac502259ad0.jpg

4 結論

針對旋轉機械設備在強噪聲環境下難以檢測的問題,本文設計了一種基于FPGA的128通道振動信號采集檢測系統,通過采用主/從式FPGA架構,極大地發揮出了FPGA的并行數據處理能力。系統采用了嵌套式的乒乓緩存方式,實現了數據的實時緩存和傳輸功能;然后,采用了FPGA在定點數補碼形式下的隨機共振檢測,增強了傳感器有效信號的信噪比,對大型旋轉機械的機械損耗和斷軸預判有著極其重要的作用。本系統已成功應用于某旋轉機械的監測項目中,具有一定的實用價值。

作者信息:

易志強,韓 賓,鮮 龍,李 維

(西南科技大學 信息工程學院,四川 綿陽621010)

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21367

    瀏覽量

    594702
  • 控制系統
    +關注

    關注

    41

    文章

    6059

    瀏覽量

    109501
  • 噪聲
    +關注

    關注

    13

    文章

    1083

    瀏覽量

    47129
  • 數據采集
    +關注

    關注

    38

    文章

    4767

    瀏覽量

    112549

原文標題:【學術論文】旋轉環境下基于FPGA的多通道數據采集系統設計

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    通道數據采集程序

    通道數據采集程序主程序
    發表于 05-21 16:50

    通道數據采集是什么概念?

    比如我要采集壓力,流量和轉速三個變量,這個屬于通道數據采集嗎?由于剛結束數據采集,問題可能比較簡單!謝謝
    發表于 06-04 15:42

    基于FPGA數據采集存儲系統

    設計高溫環境(最高120°)基于FPGA數據采集存儲系統,就是通過傳感器采集數據,通過
    發表于 10-29 21:37

    通道數據采集

    求助:通道數據采集時,如果設置AI0:2三個通道,但是只有AI0上接有信號,AI1、AI2上沒有接信號,為什么AI1,AI2也有波形輸出,而且和AI0一樣?
    發表于 06-30 16:56

    LabVIEW編程語言實現振動信號的通道數據采集

    文件列表:通道數據采集\主程序.vi..............\參數設置\參數設置.vi..............\通道
    發表于 04-16 20:41

    大神們,畢設是通道數據采集系統,求請教!

    我的畢設是通道數據采集系統的開發,想利用附件中的程序來實現通道
    發表于 04-23 22:54

    通道數據采集保存的例子

    誰有又數據采集器USB 1608用labview編寫的通道數據采集保存回放的例子呀
    發表于 01-07 10:42

    通道數據采集程序

    簡單的通道數據采集程序
    發表于 05-26 14:37

    通道數據采集方案

    通用的數據采集卡多為八通道或者十六通道,但有一些大型監測項目,比如多臺數控機床的監測,需要采集幾十個點的數據,這不是疊加幾塊卡那么簡單,需
    發表于 01-17 10:52

    通道位移數據采集系統

    ` 精量電子通道數據采集系統擁有強大的數據存儲及分析能力,可采集電子尺、LVDT傳感器等電信號
    發表于 12-31 17:01

    labview通道數據采集與存儲

    labview如何進行通道數據采集并進行數據存儲,采集后提取單通道
    發表于 11-10 09:22

    通道信號采集記錄 通道采集存儲 通道記錄存儲介紹

    信號的高速采集、分析、記錄、存儲和回放產生。在軍用、民用領域的機載、車載、外場及實驗室等多種環境應用極為廣泛,我們通常使用通道信號高速
    發表于 08-11 17:32

    基于FPGA的多通道同步數據采集存儲系統

    設計一種基于FPGA的多通道同步數據采集存儲系統,分為多通道同步數據采集模塊和
    發表于 12-27 15:31 ?70次下載

    基于FPGA的多通道數據采集系統設計

    基于FPGA的多通道數據采集系統設計,下來看看。
    發表于 05-10 13:45 ?59次下載

    基于FPGA的在臨空環境下實現數據采集系統的設計

    目前已經有多種成熟的數據采集系統,主要用于工業生產、環境監測、航空航天和科學研究領域中。大部分實時數據采集系統選用DSP(Digital S
    發表于 01-27 16:02 ?826次閱讀
    基于<b class='flag-5'>FPGA</b>的在臨空<b class='flag-5'>環境</b>下實現<b class='flag-5'>數據采集</b><b class='flag-5'>系統</b>的設計
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>