<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

16nm技術的形式驗證流程、優勢和調試

星星科技指導員 ? 來源:嵌入式計算設計 ? 作者:Nir Shapira ? 2022-11-24 12:09 ? 次閱讀

必須優化正式驗證流程中的初始網表,因此測試設計需要額外的邏輯。在這里,我們提供16 nm節點的形式驗證流程和調試技術。

形式驗證是比較用硬件描述語言 (HDL) 編寫的兩個設計以確保它們在功能上等效的過程。作為功能驗證的一個子集,it 提供了在不使用仿真的情況下檢查兩個設計的功能等效性的關鍵第一步。

這些功能等價物中的第一個稱為參考設計/黃金設計,其中基于傳輸級(RTL)代碼(如Verilog,System Verilog或VHDL)的模型用作參考網表。該網表根據第二種設計中的相應網表進行驗證,稱為實現或修訂設計(圖 1)。為簡單起見,在本文的其余部分中,參考/黃金設計將稱為“初始設計”,而實現設計/修訂設計稱為“目標設計”。

pYYBAGN-7oOAfRLjAACHMNabwWA603.png

圖1.形式驗證方法的表示

下表顯示了可用于比較初始設計與目標設計的組合。

pYYBAGN-7oiAJx8yAACvsf4ianc709.png

表 1.初始設計與目標設計

此過程要求初始網表經過不同級別的優化,這反過來又需要額外的測試設計 (DFT) 邏輯。盡管有這些要求,但形式驗證過程不應改變設計的邏輯功能。

形式驗證的類型

通常使用兩種形式驗證技術:

等價性檢查 – 邏輯等效性檢查是一種技術,它采用兩種可以具有相同或不同抽象級別(即算法、RTL 或門級)的設計,并檢查它們之間的任何功能差異。

等價性檢查進一步分為組合或順序檢查。組合等價性檢查包括通過將從初始設計一對一的翻牌映射到目標設計來檢查組合邏輯,而如果一對一翻牌映射之間存在不同的組合邏輯,但如果給定相同的輸入,設計仍應能夠產生相同的輸出,則使用順序等價檢查。通常,如果 SoC 或 ASIC 設計經歷了各種轉換,如重定時、節能設計優化等,則使用順序等效性檢查。

屬性檢查或基于斷言的驗證 (ABV) –屬性檢查或 ABV 檢查行為是否可行,并使用屬性檢查器工具來證明設計符合其所有規范。屬性檢查使用數學程序來證明設計的準確性。

屬性檢查通常使用兩種屬性語言:間隔時態邏輯 (ITL) 和系統驗證斷言 (SVA)。一旦這些被編碼,它們就可以傳遞給數學工具,數學工具預測結果是保持或失敗。持有ITL/SVA意味著所有屬性都已經過檢查,并保留了初始設計的屬性。ITL/SVA失敗意味著設計行為不是有意的,并且目標設計存在沖突。

形式驗證的要求

功能等效性檢查通常需要使用相同的測試向量對兩個HDL設計進行仿真。但是,隨著ASIC技術的縮小和電路復雜性的增加,不可能使用仿真來驗證電路功能,因為仿真可能會運行數月。因此,形式化驗證通過節省仿真運行時間以及這些擴展仿真的巨大資源需求起著非常重要的作用。

此外,由于設計要經歷從綜合、布局和布線、簽核和工程變更單(ECO)的各個階段,因此形式驗證必須確保電路邏輯功能不會受到任何階段的影響。

形式驗證流程如下圖所示。

pYYBAGN-7o6AFtq_AAC3qjAc-EE960.png

圖2.形式驗證流程圖。

形式驗證的步驟

在形式驗證期間執行以下步驟:

讀–讀取步驟讀取初始設計和目標設計以及所有相關技術庫(圖 3)。它進一步將設計劃分為邏輯錐的關鍵等價檢查概念和比較點:

常見比較點:輸入黑匣子;寄存器或鎖存器;主輸出

不太常見的比較點:多驅動網絡;圈;切割點

邏輯錐(圖4):驅動比較點的組合邏輯塊

poYBAGN-7pmAYi6WAADVrhUOcv0972.png

設置 – 綜合工具提供所有自動設置信息,包括時鐘門控和掃描插入,這些信息由形式驗證識別。

火柴–匹配過程將首先嘗試驗證指導文件并應用已設置的任何指導。比賽還將嘗試根據以下內容匹配比較點:

基于名稱的算法

基于簽名的分析

注意:然后報告任何不匹配的點。

?驗證 – 驗證周期驗證參考設計的每個邏輯錐與相應實現設計的邏輯等效性。形式驗證算法使用許多求解器來證明等價或不等價。有四種可能的結果:

成功:實現等效于引用

失?。簩崿F不等于參考,這意味著存在邏輯差異或設置問題。

定論:沒有點失敗,但分析未完成,這可能是由于超時或復雜性。

未運行:由于流中的某些初始問題,驗證無法運行。

調試-

檢查是否有任何警告標志。

檢查是否有任何被拒絕的 SVF 指導命令。

檢查不匹配的比較點。

報告和修復分析

正式驗證運行完成后,可以生成報告分析并在必要時執行修復。

下面的圖 5 顯示了匹配報告。這里總共報告了 30 個失敗的比較點,包括 4 個黑匣子引腳 (BBPins)、17 個 D 觸發器 (DFF) 和 9 個鎖存器。此外,該報告指示驗證失?。▓D 6)。

pYYBAGN-7r-AKYsoAAF9t1vcql8544.png

由于可能會發生從正常翻牌到多位翻牌的一些轉換,從而導致翻牌被報告為非等效點,因此 DFF 表示潛在的修復。

poYBAGN-7saAQ5JvAABXYP4hgJk520.png

圖7.普通翻牌與多位翻牌。

好處

無需運行仿真。

功能檢查可以通過在任何階段之后獲取網表來完成。

可以輕松識別錯誤。

結論

本文介紹了形式驗證流程、形式驗證中使用的技術以及 16 nm 技術節點的調試。形式驗證可以輕松檢測在時序修復、ECO 實現或任何后端過程中可能發生的任何錯誤或邏輯故障。

審核編輯:郭婷

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • HDL
    HDL
    +關注

    關注

    8

    文章

    324

    瀏覽量

    47131
  • 代碼
    +關注

    關注

    30

    文章

    4575

    瀏覽量

    67135
收藏 人收藏

    評論

    相關推薦

    中國臺灣將資助當地16nm以下芯片研發 最高補貼50%

    最新消息,中國臺灣經濟部門(MOEA)推出了一項針對16nm及以下芯片研發的補貼計劃,旨在支持當地企業,幫助中國臺灣成為集成電路設計的領先者。
    的頭像 發表于 03-21 14:19 ?400次閱讀

    fpga原型驗證流程

    FPGA原型驗證流程是確保FPGA(現場可編程門陣列)設計正確性和功能性的關鍵步驟。它涵蓋了從設計實現到功能驗證的整個過程,是FPGA開發流程中不可或缺的一環。
    的頭像 發表于 03-15 15:05 ?553次閱讀

    詳細解讀7nm制程,看半導體巨頭如何拼了老命為摩爾定律延壽

    Tick-Tock,是Intel的芯片技術發展的戰略模式,在半導體工藝和核心架構這兩條道路上交替提升。半導體工藝領域也有類似的形式存在,在14nm/16nm節點之前,半導體工藝在相當長
    的頭像 發表于 11-16 11:52 ?1475次閱讀
    詳細解讀7<b class='flag-5'>nm</b>制程,看半導體巨頭如何拼了老命為摩爾定律延壽

    形式驗證及其在芯片工程中的應用

    形式驗證不僅僅是芯片領域中的一個概念。正如文章開頭提到過,形式驗證強調使用嚴格的數學推理和形式技術
    的頭像 發表于 10-20 10:46 ?558次閱讀

    困擾你80%時間的那20%調試問題,可以通過它來解決

    ,簡直不能更治愈! 眾人皆知,驗證離不開調試工具。 在整個設計驗證流程中,驗證占了70%的工作量,調試
    發表于 09-19 09:25 ?389次閱讀
    困擾你80%時間的那20%<b class='flag-5'>調試</b>問題,可以通過它來解決

    困擾你80%時間的那20%調試問題,可以通過它來解決

    底層數據庫,實現想看哪就看哪的自定義功能,簡直不能更治愈! 眾人皆知,驗證離不開調試工具。 在整個設計驗證流程中,驗證占了70%的工作量,
    的頭像 發表于 09-15 16:15 ?195次閱讀
    困擾你80%時間的那20%<b class='flag-5'>調試</b>問題,可以通過它來解決

    Formal Verify形式驗證流程概述

    Formal Verify,即形式驗證,主要思想是通過使用數學證明的方式來驗證一個修改后的設計和它原始的設計,在功能上是否等價。
    的頭像 發表于 09-15 10:45 ?583次閱讀
    Formal Verify<b class='flag-5'>形式</b><b class='flag-5'>驗證</b>的<b class='flag-5'>流程</b>概述

    硬件調試流程介紹

    最近在調試產品,正好看到前人總結的一個調試流程圖,總結了本文,供大家交流學習。首先我們看看如下這個流程圖。 調試
    的頭像 發表于 09-10 10:16 ?1079次閱讀
    硬件<b class='flag-5'>調試</b><b class='flag-5'>流程</b>介紹

    EDA形式化驗證漫談:仿真之外,驗證之內

    “在未來五年內仿真將逐漸被淘汰,僅用于子系統和系統級驗證。與此同時,形式化驗證方法已經開始處理一些系統級任務。隨著技術發展,更多Formal相關的商業標準化會推出?!?Intel?fellow
    的頭像 發表于 09-01 09:10 ?1039次閱讀

    Arm CryptoCell-312生成和驗證安全引導和安全調試證書鏈指南

    安全啟動證書鏈和安全調試證書鏈之間的主要區別。 本指南包含以下各節: ·證書和證書鏈。 ·證書鏈驗證流程。 ·證書鏈生成和驗證。 ·安全啟動證書鏈和安全
    發表于 08-24 06:09

    Ansys為英特爾16nm工藝節點的簽核驗證提供支持

    Ansys多物理場平臺支持英特爾16nm工藝的全新射頻功能和其他先進特性,能夠通過與芯片相關的預測準確性來加速完成設計并提高性能
    發表于 08-15 09:27 ?348次閱讀
    Ansys為英特爾<b class='flag-5'>16nm</b>工藝節點的簽核<b class='flag-5'>驗證</b>提供支持

    淺析Formality形式驗證里的案件

    在當前的形式驗證的領域,主要有兩個工具,一個就是Cadence的conformal,另外一個就是Synopsys的formality(以下簡稱FM)。
    的頭像 發表于 07-21 09:56 ?1235次閱讀
    淺析Formality<b class='flag-5'>形式</b><b class='flag-5'>驗證</b>里的案件

    英特爾全新16nm制程工藝有何優勢

    英特爾獨立運作代工部門IFS后,將向三方開放芯片制造加工服務,可能是為了吸引客戶,英特爾日前發布了全新的16nm制程工藝。
    的頭像 發表于 07-15 11:32 ?855次閱讀

    IP_數據表(Z-3):GPIO for TSMC 16nm FF+

    IP_數據表(Z-3):GPIO for TSMC 16nm FF+
    發表于 07-06 20:20 ?1次下載
    IP_數據表(Z-3):GPIO for TSMC <b class='flag-5'>16nm</b> FF+

    M16C/6N 組(M16C/6NK、M16C/6NM)數據表

    M16C/6N 組(M16C/6NK、M16C/6NM)數據表
    發表于 06-26 19:49 ?0次下載
    M<b class='flag-5'>16</b>C/6N 組(M<b class='flag-5'>16</b>C/6NK、M<b class='flag-5'>16</b>C/6<b class='flag-5'>NM</b>)數據表
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>