<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Verilog HDL高級數字設計

FPGA研究院 ? 來源:FPGA研究院 ? 作者:FPGA研究院 ? 2022-11-03 09:02 ? 次閱讀

一、入門首先要掌握HDL(HDL=verilog+VHDL)。

第一句話是:還沒學數電的先學數電。然后你可以選擇verilog或者VHDL,有C語言基礎的,建議選擇VHDL。因為verilog太像C了,很容易混淆,最后你會發現,你花了大量時間去區分這兩種語言,而不是在學習如何使用它。當然,你思維能轉得過來,也可以選verilog,畢竟在國內verilog用得比較多。

接下來,首先找本實例抄代碼。抄代碼的意義在于熟悉語法規則和編譯器(又叫綜合器),常用的集成開發環境有:IntelQuartus、Xilinx的ISE和Vivado、Design Compiler、Synopsys的VCS、Linux下的iverilog、Lattice的Diamond、Microchip的Libero、Synplify pro,然后再模仿著寫,最后不看書也能寫出來。編譯完代碼,就打開RTL圖,看一下綜合出來是什么樣的電路。

HDL是硬件描述語言,突出硬件這一特點,所以要用數電的思維去思考HDL,而不是用C語言或者其它高級語言,如果不能理解這句話的,可以看《什么是硬件以及什么是軟件》。在這一階段,推薦的教材是《Verilog HDL高級數字設計》或者是《用于邏輯綜合的VHDL》。不看書也能寫出個三段式狀態機就可以進入下一階段了。

此外,你手上必須準備Verilog或者VHDL的官方文檔,《verilog_IEEE官方標準手冊-2005_IEEE_P1364》、《IEEE Standard VHDL Language_2008》,以便遇到一些語法問題的時候能查一下。

二、獨立完成中小規模的數字電路設計。

現在,你可以設計一些數字電路了,像交通燈、電子琴、DDS等等,推薦的教材是《Verilog HDL應用程序設計實例精講》。在這一階段,你要做到的是:給你一個指標要求或者時序圖,你能用HDL設計電路去實現它。這里你需要一塊開發板,可以選Altera的cyclone IV系列,或者Xilinx的Spantan 6。還沒掌握HDL之前千萬不要買開發板,因為你買回來也沒用。這里你沒必要每次編譯通過就下載代碼,咱們用modelsim仿真(此外還有QuestaSim、NC verilog、Diamond的Active-HDL、VCS、Debussy/Verdi等仿真工具),如果仿真都不能通過那就不用下載了,肯定不行的。在這里先掌握簡單的testbench就可以了。推薦的教材是《WRITING TESTBENCHES Functional Verification of HDL Models》。

三、掌握設計方法和設計原則。

你可能發現你綜合出來的電路盡管沒錯,但有很多警告。這個時候,你得學會同步設計原則、優化電路,是速度優先還是面積優先,時鐘樹應該怎樣設計,怎樣同步兩個異頻時鐘等等。推薦的教材是《FPGA權威指南》、《Altera FPGA/CPLD設計》第二版的基礎篇和高級篇兩本。學會加快編譯速度(增量式編譯、LogicLock),靜態時序分析(timequest),嵌入式邏輯分析儀(signaltap)就算是通關了。如果有不懂的地方可以暫時跳過,因為這部分還需要足量的實踐,才能有較深刻的理解。

四、學會提高開發效率。

因為Quartus和ISE的編輯器功能太弱,影響了開發效率。所以建議使用Sublime text編輯器中代碼片段的功能,以減少重復性勞動。Modelsim也是常用的仿真工具,學會TCL/TK以編寫適合自己的DO文件,使得仿真變得自動化,推薦的教材是《TCL/TK入門經典》。你可能會手動備份代碼,但是專業人士都是用版本控制器Git的,可以提高工作效率。文件比較器Beyond Compare也是個比較常用的工具,Git也有比較功能。此外,你也可以使用System Verilog來替代testbench,這樣效率會更高一些。如果你是做IC驗證的,就必須掌握System Verilog和驗證方法學(UVM)。推薦的教材是《Writing Testbenches using SystemVerilog》、《The UVM Primer》、《System Verilog1800-2012語法手冊》。

掌握了TCL/TK之后,可以學習虛擬Jtag(ISE也有類似的工具)制作屬于自己的調試工具,此外,有時間的話,最好再學個python。腳本,意味著一勞永逸。

五、增強理論基礎。

這個時候,你已經會使用FPGA了,但是還有很多事情做不了(比如,FIR濾波器、PID算法、OFDM等),因為理論沒學好。我大概地分幾個方向供大家參考,后面跟的是要掌握的理論課。

1、信號處理——信號與系統、數字信號處理、多采樣率信號處理、數字圖像處理、現代數字信號處理、盲信號處理、自適應濾波器原理、雷達信號處理。

2、接口應用——如:UART、SPI、IIC、USB、CAN、PCIE、Rapid IO、DDR、TCP/IP、SPI4.2(10G以太網接口)、SATA、光纖、DisplayPort、HDMI。

3、無線通信——信號與系統、數字信號處理、通信原理、移動通信基礎、隨機過程、信息論與編碼。

4、CPU設計——計算機組成原理、單片機、計算機體系結構、編譯原理、RISC-V。

5、儀器儀表——模擬電子技術、高頻電子線路、電子測量技術、智能儀器原理及應用。

6、控制系統——自動控制原理、現代控制理論、過程控制工程、模糊控制器理論與應用。

7、壓縮、編碼、加密——數論、抽象代數、現代編碼技術、信息論與編碼、數據壓縮導論、應用密碼學、音頻信息處理技術、數字視頻編碼技術原理、H.265

現在你發現,原來FPGA會涉及到那么多知識,你可以選一個感興趣的方向,但是工作中很有可能用到其中幾個方向的知識,所以理論還是學得越多越好。如果你要更上一層,數學和英語是不可避免的。

六、學會使用MATLAB仿真。

設計FPGA算法的時候,多多少少都會用到MATLAB,比如CRC的系數矩陣、FFT、數字濾波器系數、各種表格和文本處理等。此外,MATLAB還能用于調試HDL(用MATLAB的計算結果跟用HDL算出來的一步步對照,可以知道哪里出問題)。推薦的教材是《MATLAB寶典》和杜勇的《數字濾波器的MATLAB與FPGA實現》。

七、足量的實踐。

這個時候你至少讀過幾遍芯片手冊(官網有),然后可以針對自己的方向,做一定量的實踐了(期間要保持良好的代碼風格,增加元件例化語句的可讀性,繪制流程圖/時序圖,撰寫文檔的習慣)。比如:通信類的可以做調制解調算法,儀表類的可以做總線分析儀等等。不過這些算法,在書上只是給了個公式、框圖而已,跟實際的差距很大,你甚至會覺得書上的東西都很膚淺。那么,你可以在知網、百度文庫、EETOP論壇、opencores、ChinaAET、SCI-HUB、Q群共享、博客上面找些相關資料(校外的朋友可以在淘寶買個知網賬號)。其實,當你到了這個階段,你已經達到了職業級水平,有空就多了解一些前沿技術,這將有助于你的職業規劃。

在工作當中,或許你需要關注很多協議和行業標準,協議可以在EETOP上面找到,而標準(如:國家標準GB和GB/T,國際標準ISO)就推薦《標準網》和《標準分享網》。

八、圖像處理。(這部分只寫給想學圖像處理的朋友,也是由淺入深的路線)

1、Photoshop?;ㄒ?、兩周的時間學習PS,對圖像處理有個大概的了解,知道各種圖片格式、直方圖、色相、通道、濾鏡、拼接等基本概念,并能使用它。這部分是0基礎,目的讓大家對圖像處理有個感性的認識,而不是一上來就各種各樣的公式推導。推薦《Photoshop CS6完全自學教程》。

2、基于MATLAB或OpenCV的圖像處理。有C/C++基礎的可以學習OpenCV,否則的話,建議學MATLAB。這個階段下,只要學會簡單的調用函數即可,暫時不用深究實現的細節。推薦《數字圖像處理matlab版》、《學習OpenCV》。

3、圖像處理的基礎理論。這部分的理論是需要高數、復變、線性代數、信號與系統、數字信號處理等基礎,基礎不好的話,建議先補補基礎再來??床欢睦碚撘部梢詴簳r先放下,或許學到后面就自然而然地開竅了。推薦《數字圖像處理》。

4、基于FPGA的圖像處理。把前面學到的理論運用到FPGA上面,如果這時你有前面第七個階段的水平,你將輕松地獨立完成圖像算法設計(圖像處理是離不開接口的,上面第五個階段有講)。如果你沒有開發板,請參考《Verilog讀取bmp圖片》。推薦《基于FPGA的嵌入式圖像處理系統設計》、《基于FPGA的數字圖像處理原理及應用》。

5、進一步鉆研數學。要在算法上更上一層,必然需要更多的數學,所以這里建議學習實分析、泛涵分析、小波分析等。

下面這兩個階段是給感興趣的朋友介紹的。

九、數電的盡頭是模電。

現在FPGA內部的事情是難不到你的,但是信號出了FPGA,你就沒法控制了。這個時候必須學好模電。比如:電路分析、模擬電子技術、高頻電子線路、PCB設計、EMC、SI、PI等等,能設計出一塊帶兩片DDR3的FPGA開發板,就算通關了。

十、學無止境。

能到這個境界,說明你已經很厲害了,但是還有很多東西要學的,因為FPGA常常要跟CPU交互,也就是說你得經常跟軟件工程師交流,所以也得懂點軟件方面的知識。比如ARM(Xilinx的ZYNQ和Altera的SOC會用到ARM的硬核,請參考本博客的《如何學習嵌入式軟件》)、DSP、Linux、安卓、上位機(QT、C#、JAVA)都可以學一下,反正學無止境的。

十一、其它問題。

a、為什么不推薦學習NIOS II和MicroBlaze等軟核?

1、性價比不高,一般的軟核性能大概跟Cortex M3或M4差不多,用FPGA那么貴的東西去做一個性能一般的CPU,在工程上是非常不劃算的。不如另外加一塊M3。

2、加上軟核,可能會影響到其它的邏輯的功能。這是在資源并不十分充足的情況下,再加上軟核,導致布局布線變得相當困難。

3、軟核不開源,出現Bug的時候,不容易調試。

4、工程上很少使用,極有可能派不上用場。

b、為什么不推薦0基礎學習ZYNQ或SOC?

1、容易讓人有傍同心理。傍同心理是指一個人通過渲染與自己有親近關系的人的杰出,來掩蓋和彌補自己在這方面的不足,從而獲得心理上的平衡。自己在學習很厲害的東西,然后也感覺自己很厲害,但這只是錯覺而已。

2、入門應該學習盡量簡單的東西,要么專心學習ARM,要么專心學習FPGA。這樣更容易有成就感,增強信心。

3、ZYNQ和SOC的應用領域并不廣,還有很多人沒聽過這種東西,導致求職的不利。

4、開發工具編譯時間長,浪費較多時間。

5、絕大多數工作,都只是負責一方面,也就是說另一方面,很有可能派不上用場。

c、為什么已經存在那么多IP核,仍然需要寫HDL?

1、問這種問題的,一般是學生,他們沒有做過產品,沒有遇到過工程上的問題。

2、IP核并非萬能,不能滿足所有需求。

3、盡量少用閉源IP核,一旦出問題,這種黑匣子很可能讓產品難產。

4、深入理解底一層次,可以更好地使用高一層次。該法則可以適用于所有編程語言。

審核編輯:彭靜
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • Verilog
    +關注

    關注

    28

    文章

    1328

    瀏覽量

    109466
  • HDL
    HDL
    +關注

    關注

    8

    文章

    324

    瀏覽量

    47131
  • 編譯器
    +關注

    關注

    1

    文章

    1585

    瀏覽量

    48741

原文標題:如何學習FPGA?

文章出處:【微信號:FPGA研究院,微信公眾號:FPGA研究院】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    FPGA設計中 Verilog HDL實現基本的圖像濾波處理仿真

    今天給大俠帶來FPGA設計中用Verilog HDL實現基本的圖像濾波處理仿真,話不多說,上貨。 1、用matlab代碼,準備好把圖片轉化成Vivado Simulator識別的格式,即每行一
    發表于 05-20 16:44

    有什么好用的verilog HDL編輯工具可用?

    有什么好用的verilog HDL編輯工具可用?最好能集成實時的verilog HDL語法檢測、自定義模塊識別觸發等功能,最好能夠免費;
    發表于 04-28 11:00

    verilog調用模塊端口對應方式

    Verilog是一種硬件描述語言(HDL),廣泛應用于數字電路設計和硬件驗證。在Verilog中,模塊是構建電路的基本單元,而模塊端口對應方式則用于描述模塊之間信號傳遞的方式。本文將介
    的頭像 發表于 02-23 10:20 ?545次閱讀

    verilog function函數的用法

    Verilog 是一種硬件描述語言 (HDL),主要用于描述數字電子電路的行為和結構。在 Verilog 中,函數 (Function) 是一種用于執行特定任務并返回一個值的可重用代碼
    的頭像 發表于 02-22 15:49 ?1936次閱讀

    Verilog HDL數字集成電路設計方法概述

    電子發燒友網站提供《Verilog HDL數字集成電路設計方法概述.zip》資料免費下載
    發表于 02-03 09:27 ?2次下載

    通過HDL制作了一個4位計數組件VERILOG ,如何設置像這些標準組件這樣的API?

    我通過 HDL 制作了一個 4 位計數組件 VERILOG ,如何設置像這些標準組件這樣的 API? 例如 counter_writeCounter ()、counter_readCounter () 的命令。
    發表于 01-25 06:06

    例說Verilog HDL和VHDL區別

    Verilog和VHDL之間的區別將在本文中通過示例進行詳細說明。對優點和缺點的Verilog和VHDL進行了討論。
    的頭像 發表于 12-20 09:03 ?986次閱讀
    例說<b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>和VHDL區別

    FPGA入門篇:Verilog計數器

    Verilog HDL是一種硬件描述語言,以文本形式來描述數字系統硬件的結構和行為的語言,用它可以表示邏輯電路圖、邏輯表達式,還可以表示數字邏輯系統所完成的邏輯功能。
    發表于 12-07 09:59 ?960次閱讀
    FPGA入門篇:<b class='flag-5'>Verilog</b>計數器

    高級數字IC設計之灰度轉二值化設計

    這是一個能夠成功上板實現的灰度轉二值的 Verilog 程序設計,詳細的數據延時與信號延時如下所示
    發表于 10-09 10:39 ?174次閱讀
    <b class='flag-5'>高級數字</b>IC設計之灰度轉二值化設計

    Verilog HDL實用精解配套源代碼

    輕松成為設計高手Verilog HDL 實用精解 配套源代碼。
    發表于 10-09 06:28

    Verilog HDL語言的發展歷史和主要能力

    Verilog HDL是一種硬件描述語言,以文本形式來描述數字系統硬件的結構和行為的語言,用它可以表示邏輯電路圖、邏輯表達式,還可以表示數字邏輯系統所完成的邏輯功能。
    發表于 08-29 15:58 ?0次下載

    二十進制編碼器及Verilog HDL描述 Verilog HDL程序的基本結構及特點

    節通過硬件描述語言Verilog HDL對二十進制編碼器的描述,介紹Verilog HDL程序的基本結構及特點。
    的頭像 發表于 08-28 09:54 ?1709次閱讀
    二十進制編碼器及<b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>描述 <b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>程序的基本結構及特點

    Verilog例程 Verilog HDL程序設計教程

    Verilog大量例程(簡單入門到提高)
    發表于 08-16 11:49 ?0次下載

    使用Verilog HDL描述寄存器的硬件

    剛接觸數字集成電路設計,特別是Verilog HDL語言的同學,往往不理解什么時候變量需要設置為wire型,什么時候需要設置成reg型。
    發表于 07-13 15:53 ?666次閱讀
    使用<b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>描述寄存器的硬件

    Verilog HDL中使用分頻器的8位計數器的設計

    電子發燒友網站提供《在Verilog HDL中使用分頻器的8位計數器的設計.zip》資料免費下載
    發表于 06-15 10:14 ?0次下載
    在<b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>中使用分頻器的8位計數器的設計
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>