<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

如何加速Modelsim仿真時間

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2022-08-08 14:15 ? 次閱讀

Modelsim加速仿真技巧

《前言》

最近在Modelsim仿真過程中,遇到一個大問題,對于分辨率2048*500的圖像數據,在進行時序約束中,發現算法模塊最高只能跑到60Mhz多,而要求必須跑到100Mhz,因而時序不滿足要求;通過看時序報告,關鍵路徑基本上都是在reg to mem和mem to reg path上,嘗試修改對邏輯處理影響較大。

如何在不修改核心算法邏輯的前提下,保證功能和時序滿足,辦法還是有的,后來發現100Mhz頻率下算法模塊輸入的數據有效帶寬只有50% ,因此考慮算法模塊入口處增加一個整形的異步FIFO,寫時鐘100Mhz,讀時鐘50Mhz這樣算法模塊只工作在50Mhz時鐘頻率下,可以滿足時序要求。同時也滿足100Mhz接口時序的要求。

此時,增加一個時鐘ip核來得到50Mhz,發現仿真速度極其慢,由原來的1~2分鐘左右變為現在的1小時左右,定位個問題,效率太低了。

《如何加速Modelsim仿真時間》

首先,需要說明的是,Modelsim仿真時間長短,也有電腦配置有關,i7+16G的配置其實也就10分鐘左右 ,i5+8G的配置就是1小時多。

其次,就是在仿真平臺上下功夫:

方法一:修改代碼仿真精度,精度越高,Modelsim效率越低。1n/1ps 修改為 1n/1ns 速度可提升一倍。

方法二:減少層次結構,減少波形信號的顯示。特別是輸出的數據文件,能減少就減少。

方法三:在不影響功能的前提下,降低測試的圖像分辨率,或者一些計數器適當縮短計數,都可以達到提速的目的。

方法四:當文件仿真系統有大量文件時,修改某個Module的信號,增量編譯可以節省時間,verilog :vlog -incr vhdl:vcom -incr

方法五:減少IP的調用,比如我的這個慢的主要原因就是調用時鐘IP的原因;這里兩個時鐘都可以在testbench 生成,大大減少了仿真時間,又回到了幾分鐘。

審核編輯:彭靜
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 帶寬
    +關注

    關注

    3

    文章

    844

    瀏覽量

    40301
  • 圖像數據
    +關注

    關注

    0

    文章

    47

    瀏覽量

    11188
  • ModelSim
    +關注

    關注

    5

    文章

    172

    瀏覽量

    46833
收藏 人收藏

    評論

    相關推薦

    最實用的Modelsim使用教程

    今天給大俠帶來最實用的Modelsim初級使用教程,話不多說,上貨。 一、 Modelsim簡介 Modelsim仿真工具是Model公司開發的。它支持Verilog、VHDL
    發表于 03-19 16:40

    Modelsim報錯, -novopt 開關打開,仿真失敗

    在使用紫光同創PDS和Modelsim聯合仿真時,modelsim報錯不會解決,如下圖
    發表于 02-18 10:26

    如何使用 ModelSim 進行設計仿真

    ModelSim為HDL仿真工具,我們可以利用該軟件來實現對所設計的VHDL或Verilog程 序進行仿真,支持IEEE常見的各種硬件描述語言標準??梢赃M行兩種語言的混合仿真,但 推薦
    發表于 01-14 09:47 ?0次下載

    怎樣單獨使用modelsim仿真xilinx呢?

    直接在modelsim軟件內執行.do文件進行仿真,不通過vivado調用modelsim,vivado僅用于生成IP核。
    的頭像 發表于 12-04 18:26 ?730次閱讀
    怎樣單獨使用<b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>xilinx呢?

    如何用Python實現Vivado和ModelSim仿真自動化?

    我們在Windows系統下使用Vivado的默認設置調用第三方仿真器比如ModelSim進行仿真時,一開始仿真軟件都會默認在波形界面中加載testbench頂層的信號波形
    的頭像 發表于 09-13 09:23 ?834次閱讀
    如何用Python實現Vivado和<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>自動化?

    如何加速PCIe仿真

    不同而所有差異),而如果進行網表級別的仿真一般需要1周以上的時間。此時加速PCIe仿真,提高效率是我們必須考慮的問題。常見的加速模式有如下三
    的頭像 發表于 08-17 09:42 ?915次閱讀
    如何<b class='flag-5'>加速</b>PCIe<b class='flag-5'>仿真</b>

    使用modelsim仿真時為什么會出現error呀?

    使用modelsim仿真時為什么會出現error呀
    發表于 08-12 07:57

    將vivado的仿真器改成modelsim,仿真modelsim的transcript沒法打印出e203實時運行的信息怎么解決?

    原來仿真使用的是vivado simulator,最近將vivado的仿真器改成modelsim,發現仿真的時候modelsim的trans
    發表于 08-11 09:47

    請問安路平臺如何在Modelsim上做仿真?

    安路平臺如何在Modelsim上做仿真?
    發表于 08-11 09:02

    使用vivado的仿真仿真時,modelsim的transcript界面無法輸出C程序的printf語句是為什么?

    我現在將vivado和modelsim做了聯合仿真,用來仿真蜂鳥e203協處理器擴展實現的功能?,F在的問題是:使用vivado的仿真仿真
    發表于 08-11 06:44

    vivado軟件和modelsim軟件的安裝方法

    本文詳細介紹了vivado軟件和modelsim軟件的安裝,以及vivado中配置modelsim仿真設置,每一步都加文字說明和圖片。
    的頭像 發表于 08-07 15:48 ?2082次閱讀
    vivado軟件和<b class='flag-5'>modelsim</b>軟件的安裝方法

    Vivado調用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以調用Modelsim進行仿真,下面將介紹如何對vivado進行配置并調用Models
    的頭像 發表于 07-24 09:04 ?2205次閱讀
    Vivado調用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>

    modelsim自動化仿真實驗 利用腳本實現modelsim自動化仿真

    大家好!今天給大家帶來的是modelsim自動化仿真程序。我們在代碼編寫完成時,通常都需要先進行仿真,然后上板實驗。但是如果我們每次仿真都要去新建一個工程,添加.v文件以及testbe
    的頭像 發表于 07-19 10:10 ?1181次閱讀
    <b class='flag-5'>modelsim</b>自動化<b class='flag-5'>仿真</b>實驗 利用腳本實現<b class='flag-5'>modelsim</b>自動化<b class='flag-5'>仿真</b>

    MES50HP——PDS與Modelsim聯合仿真教程

    ,目前支持ModelSim和QuestaSim,本教程選擇ModelSim; 【Language】:仿真庫用的語言; 【Library】:選擇 usim 則是 GTP 前仿庫,vsim 則是 VOP 后
    發表于 06-26 10:45

    modelsim仿真和實際上板結果不一致的原因及其解決辦法

    某項目在完成算法模型的modelsim仿真之后,開始硬件上板調試。
    的頭像 發表于 06-11 15:04 ?3726次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>