<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

仿真的時候最主要的細節是啥?

FPGA之家 ? 來源:EAD365 ? 作者:EAD365 ? 2021-07-02 10:43 ? 次閱讀

仿真是我們在驗證邏輯功能的常用手段。通過仿真,我們可以提早發現一些隱含的邏輯Bug。仿真一般分為功能仿真和時序仿真,有的時候也稱作前仿真和后仿真。這兩者的主要區別是在功能仿真里暫時忽略了邏輯延時和布局布線延時,仿真的模型相對簡單,仿真的運行速度更快。

可以用來驗證功能的正確性。時序仿真通過反標的方式將加入延時信息,這樣仿真的結果更接近實際芯片的工作情況。但正因為如此,時序仿真的模型更為復雜,需要計算的信息更多,運行的時間更長。一般情況下,我們首先通過邏輯仿真驗證功能,然后再運行時序仿真驗證時序的正確性。

當然現在也有一些做法是在做功能仿真的時候,人為的設定一些延時,雖然不能百分之百的擬合實際的芯片運行情況,但可以覆蓋大多數的應用場景。帶來的好處是仿真的運行比時序仿真要快很多。

各個EDA公司都有自己的仿真工具,針對FPGA/CPLD來說,用的比較多的是Modelsim和Aldec。無論用哪個仿真工具,有一個細節經常會被初學者會忽略。在設計好testbench后,編譯都沒有問題,但在開始仿真的時候,軟件會報類似這樣的錯誤:

Fatal Error: ELAB2_0036 Unresolved hierarchical reference to“PUR_INST.PURNET” from module“tb_cdr_tb.UUT.top_cdr_u.receive_top_u.serial_data_in.FF_3” (modulenot found)。

Fatal Error: ELAB2_0036 Unresolved hierarchical reference to“GSR_INST.GSRNET” from module “tb_cdr_tb.UUT.top_cdr_u.receive_top_u.serial_data_in.FF_3”(module not found)。

這是因為在芯片工作的時候,內部有PUR(Power Up Reset)和GSR(Global Set/Reset)模塊產生上電復位信號和接入全局復位/置位信號。這個信號在做綜合的時候是自動生成并加入的設計中的,但在仿真的時候編譯并不會加入這兩個模塊。所以如果要做仿真,一定要在你的testbench中加入這樣一段描述:

PUR PUR_INST(.PUR(1‘b1));

GSRGSR_INST(.GSR(1’b1));

本站資訊文章系編輯轉載,轉載目的在于傳遞更多信息,并不代表本站贊同其觀點和對其真實性負責。如涉及作品內容、版權和其它問題,請在30日內與本站聯系,我們將在第一時間刪除內容!

[聲明]本站文章版權歸原作者所有 內容為作者個人觀點 本站只提供參考并不構成任何投資及應用建議。

本站擁有對此聲明的最終解釋權。

編輯;jq

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1603

    文章

    21331

    瀏覽量

    593643
  • 芯片
    +關注

    關注

    447

    文章

    47838

    瀏覽量

    409716
  • cpld
    +關注

    關注

    32

    文章

    1243

    瀏覽量

    168340
  • 仿真
    +關注

    關注

    50

    文章

    3873

    瀏覽量

    132187
收藏 人收藏

    評論

    相關推薦

    stm32f103rct6定時器8的1通道和互補通道仿真的時候沒有輸出是為什么?

    關于stm32f103rct6,采用邏輯分析儀的時候,定時器8的1通道和互補通道仿真的時候沒有輸出。
    發表于 03-22 06:28

    STlink仿真的時候斷點個數有限是為什么?不能多打嗎?

    比較好奇,為什么STlink仿真的時候斷點個數有限???好像就支持3個吧,還是幾個來著,為什么不像jlink一樣,斷點可以多打呢?
    發表于 03-20 07:36

    如何設置LTspice來讓仿真的速度快一些?

    我在用LTspice做電源仿真的時候,我發現仿真的速度很慢,該如何設置LTspice來讓仿真的速度快一些,thanks
    發表于 01-05 07:03

    芯片前仿真和后仿真的區別

    在芯片設計中,前仿真和后仿真都是非常重要的環節,但它們在功能和目的上存在明顯的區別。本文將詳細介紹前仿真和后仿真的區別,以及它們在芯片設計中的應用和重要性。 一、前
    的頭像 發表于 12-13 15:06 ?2509次閱讀

    介紹一種通過SystemC做RTL/C/C++聯合仿真的方法

    當FPGA開發者需要做RTL和C/C++聯合仿真的時候,一些常用的方法包括使用MicroBlaze軟核,或者使用QEMU仿真ZYNQ的PS部分。
    的頭像 發表于 12-13 10:11 ?388次閱讀
    介紹一種通過SystemC做RTL/C/C++聯合<b class='flag-5'>仿真的</b>方法

    Saber中如何更好地提高仿真的收斂性(一)

    仿真過程中,由于仿真模型的不連續性,或者模型沒有適當地表征/參數化,或者當求解器無法求解控制模型行為的方程時,可能就會出現仿真的收斂問題。
    的頭像 發表于 12-05 14:43 ?672次閱讀
    Saber中如何更好地提高<b class='flag-5'>仿真的</b>收斂性(一)

    AD8130是可以單端工作的,但是為什么用Multisim仿真的時候輸出只有一個直流偏置呢?

    根據芯片手冊,AD8130是可以單端工作的,但是為什么用Multisim仿真的時候輸出只有一個直流偏置呢?
    發表于 11-23 07:48

    包絡仿真的四個步驟過程

    最近探索仿真的時候,在DF下仿真射頻系統時,需要用到包絡仿真(envelope simulation),所以就扒拉著看了一點ADS里面關于其的help內容。以下為翻譯記錄。
    的頭像 發表于 11-08 14:23 ?476次閱讀
    包絡<b class='flag-5'>仿真的</b>四個步驟過程

    EMC仿真的方向 EMC仿真的難處在于哪里?

    目前仿真的方向基本上有兩個,一個是以試驗測試為導向,對產品進行EMC測試項目的仿真
    的頭像 發表于 11-04 17:28 ?1450次閱讀
    EMC<b class='flag-5'>仿真的</b>方向 EMC<b class='flag-5'>仿真的</b>難處在于哪里?

    單片機雙向通信Proteus仿真的電路原理圖

    簡介:本文介紹的主要是單片機之間的雙向通信Proteus仿真的電路原理圖及其程序
    發表于 10-19 15:26 ?1次下載
    單片機雙向通信Proteus<b class='flag-5'>仿真的</b>電路原理圖

    能連arduino仿真的電化學軟件

    電子發燒友網站提供《能連arduino仿真的電化學軟件.zip》資料免費下載
    發表于 09-18 09:25 ?5次下載
    能連arduino<b class='flag-5'>仿真的</b>電化學軟件

    時序仿真與功能仿真的區別有哪些?

    EDA仿真,它模擬一個數字電路中時序的行為。時序行為通常包括數據信號傳輸的時序,如周期時間或LATCH信號的上升沿下降沿。它通常應用于驗證設計延遲、時序、時序違規和時序沖突等問題。 時序仿真的主要目的是在設計的任何階段,從RTL
    的頭像 發表于 09-17 14:15 ?2970次閱讀

    時序仿真與功能仿真的區別在于

    時序仿真與功能仿真的區別在于 時序仿真與功能仿真是電子設計自動化(EDA)中最常見的兩種仿真方式。雖然二者都是
    的頭像 發表于 09-08 10:39 ?3260次閱讀

    闡述ADS交流仿真的基本方法和流程

    交流仿真的概念:交流放著是射頻電路中最重要的仿真方式之一,主要用于分析電路的小信號特性和噪聲特性。
    的頭像 發表于 06-29 11:17 ?9854次閱讀
    闡述ADS交流<b class='flag-5'>仿真的</b>基本方法和流程

    季豐電子仿真的主要應用

    季豐電子硬件研發事業部有專門從事仿真業務的團隊,負責硬件電路的電氣完整性。
    的頭像 發表于 05-15 15:27 ?432次閱讀
    季豐電子<b class='flag-5'>仿真的</b><b class='flag-5'>主要</b>應用
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>