<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

通過Arty Artix-35T FPGA開發板創建mig IP

電子設計 ? 來源: FPGA開源工作室 ? 作者:OpenSLee ? 2020-12-16 15:47 ? 次閱讀

講解xilinx FPGA 使用mig IP對DDR3的讀寫控制,旨在讓大家更快的學習和應用DDR3。

本實驗和工程基于Digilent的Arty Artix-35T FPGA開發板完成。

軟件使用Vivado 2018.1

第二篇:mig IP的創建

1 DDR3

Digilent的Arty Artix-35T FPGA開發板板載MT41K128M16JT-125 DDR3基本信息如下表所示。

o4YBAF9uEwGAE65ZAARlBk1Rb7Y195.png

2 mig IP的創建

1>點擊IP Catalog ->搜索mig->雙擊Memory Interface Generator(MIG 7 Series)

o4YBAF9uEwaAERPLAAS9pT63p8Q638.png

2>打開后可以看到一些基本信息

pIYBAF9uEwqAYFm9AASAWxFH4xs640.png

3> Enter a component name in the Component Name field ->Next

Component name(組件名稱):ddr3_ip

o4YBAF9uEw6AOlGNAAOgycgrzB8902.png

4>這里我們不做兼容性選擇,直接下一步

pIYBAF9uExKAe9vEAAOmPZ4-z-E887.png

5>控制類型選擇DDR3 SDRAM

o4YBAF9uExWAdsq3AAJPpW8-JN8406.png

6>①Clock Period:(此功能表示所有控制器的工作頻率,頻率模塊受所選FPGA和器件速度等級等因素的限制。) 3000ps(333.33MHZ)。

②PHY to Controller Clock Ratio :(此功能確定物理層(存儲器)時鐘頻率與控制器和用戶界面時鐘頻率的比率。 由于FPGA邏輯時序限制,2:1比率會降低最大存儲器接口頻率。 2:1比率的用戶界面數據總線寬度是物理存儲器接口寬度寬度的四倍,而4:1比率的總線寬度是物理存儲器接口寬度的八倍。 2:1比率具有較低的延遲。 4:1的比率是最高數據速率所必需的)4:1。

③Memory Type:此功能選擇設計中使用的內存部件類型。

④Memory Part :此選項為設計選擇內存部件。 選擇可以從列表中創建或者可以創建新部件。MT41K128M16XX-15E。

⑤Memory Voltage:根據設計原理圖1.35V。

⑥Data Width:(可以根據之前選擇的存儲器類型在此處選擇數據寬度值。 該列表顯示所選部件的所有支持的數據寬度。 可以選擇其中一個數據寬度。 這些值通常是各個器件數據寬度的倍數。 在某些情況下,寬度可能不是精確倍數。 例如,16位是x16組件的默認數據寬度,但8位也是有效值。)16。

⑦Data Mask:(選擇時,此選項會分配數據屏蔽引腳。 應取消選擇此選項以釋放數據屏蔽引腳并提高引腳效率。 此外,對于不支持數據掩碼的內存部分禁用此功能。)勾選。

NXET。

pIYBAF9uExqABWdjAAYeCuScbl4197.png

7>①Input clock Period:6000ps(166.667MHZ)。

②Read Burst Type and Length:Sequential。

③Output Driver Impedance Control:RZQ/6。

其他默認,NEXT。

o4YBAF9uEx-AC51VAATPY0zC6UI657.png

8>①System Clock :(此選項為sys_clk信號對選擇時鐘類型(單端,差分或無緩沖)。 選擇No Buffer選項時,IBUF原語不會在RTL代碼中實例化,并且不會為系統時鐘分配引腳。 )No Buffer。

②Reference Clock :(此選項為clk_ref信號對選擇時鐘類型(單端,差分,無緩沖或使用系統時鐘)。 當輸入頻率介于199和201 MHz之間時(即輸入時鐘周期介于5,025 ps(199 MHz)和4,975 ps(201 MHz)之間),將顯示Use System Clock(使用系統時鐘)選項。參考時鐘頻率基于數據速率 并注意添加MMCM以創建高于1,333 Mb / s的適當ref_clk頻率。當選擇No Buffer選項時,IBUF原語不會在RTL代碼中實例化,并且引腳不會分配給參考時鐘。)No Buffer。

③System Reset Polarity:(可以選擇系統復位(sys_rst)的極性。 如果選項選擇為低電平有效,則參數RST_ACT_LOW設置為1,如果設置為高電平 - 高,則參數RST_ACT_LOW設置為0。)ACTIVE LOW。

④Debug Signals Control:選擇此選項可以將校準狀態和用戶端口信號端口映射到example_top模塊中的ILA和VIO。 這有助于使用Vivado Design Suite調試功能監控用戶界面端口上的流量。 取消選擇Debug Signals Control選項會使example_top模塊中的調試信號保持未連接狀態,并且IP目錄不會生成ILA / VIO模塊。 此外,始終禁用調試端口以進行功能仿真。OFF。

⑤Sample Data Depth:此選項選擇Vivado調試邏輯中使用的ILA模塊的樣本數據深度。 當“內存控制器的調試信號”選項為“開”時,可以選擇此選項。

⑥Internal Verf:(內部VREF可用于數據組字節,以允許使用VREF引腳進行正常的I / O使用。 內部VREF僅應用于800 Mb / s或更低的數據速率。)勾選。

其他默認,NEXT。

o4YBAF9uEyOAZsj1AAT1YBICweY343.png

9>默認,NEXT。

pIYBAF9uEyaAKf6iAAIaP7m_luI532.png

10>選擇Fixed Pin Out。我們的原理圖管腳已經確定無需從新設計。

11>點擊Read XDC/UCF,這里DDR3管腳支持兩種約束文件。

pIYBAF9uEyyAG2DoAAVEa-5N978943.png

12>點擊Validate驗證管腳約束是否有錯誤。驗證通過NEXT。

pIYBAF9uEy6AZv9nAAUPlbAi4G0942.png

13>默認,NEXT。

o4YBAF9uEzGARoPDAAQTDJOJ9eo046.png

14>Next。

pIYBAF9uEzOAQqpLAAOpCpaNUm8472.png

15>Accept,Next。

o4YBAF9uEziAbEjhAAjZcZBUL9E359.png

16>Generate

o4YBAF9uEzuAU0hZAAXffgy6hgw203.png

17>Generate

pIYBAF9uEz2AdUfhAAS8u5UQU_s157.png

18>至此我們的mig IP創建完成。

pIYBAF9uE0CAGq2aAATaEPdTcrE133.png

編輯:hfy

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1610

    文章

    21374

    瀏覽量

    595028
  • 開發板
    +關注

    關注

    25

    文章

    4578

    瀏覽量

    94979
收藏 人收藏

    評論

    相關推薦

    開發者福利 一文帶你了解Digilent Arty S7 FPGA開發板

    Digilent為Arty FPGA開發板系列增加了新的成員——兩種不同規格的新的Arty S7,這款FPGA
    的頭像 發表于 09-27 06:33 ?8347次閱讀
    <b class='flag-5'>開發</b>者福利 一文帶你了解Digilent <b class='flag-5'>Arty</b> S7 <b class='flag-5'>FPGA</b><b class='flag-5'>開發板</b>

    基于Digilent的Arty Artix-35T FPGA開發板的DDR3讀寫控制

    通過五篇文章來給大家講解xilinx FPGA 使用mig IP對DDR3的讀寫控制,旨在讓大家更快的學習和應用DDR3。 本實驗和工程基于Digilent的
    的頭像 發表于 12-15 16:45 ?2599次閱讀
    基于Digilent的<b class='flag-5'>Arty</b> <b class='flag-5'>Artix-35T</b> <b class='flag-5'>FPGA</b><b class='flag-5'>開發板</b>的DDR3讀寫控制

    基于Arty Artix-35T FPGA開發板的DDR3和mig介紹

    講解xilinx FPGA 使用mig IP對DDR3的讀寫控制,旨在讓大家更快的學習和應用DDR3。 本實驗和工程基于Digilent的Arty
    的頭像 發表于 01-01 10:09 ?3874次閱讀
    基于<b class='flag-5'>Arty</b> <b class='flag-5'>Artix-35T</b> <b class='flag-5'>FPGA</b><b class='flag-5'>開發板</b>的DDR3和<b class='flag-5'>mig</b>介紹

    Meet ARTY@$99:Artix-7 35T開發套件開箱視頻

    觀看視頻了解 ARTY 開發套件的功能與配置。(Artix-7 FPGA,關注每瓦性能,降低成本,適用面廣的優異選擇)。
    發表于 07-26 19:49

    【DIGILENT挑戰賽】+電子相框

    `項目概述:本次主要是基于 arty 開發板設計一個電子相框,在TFT屏上可以顯示圖片,有3個觸摸按鍵可以切換SD卡里面存的照片,arty 是digilent 推出的 artix 7-
    發表于 05-03 20:10

    arty A7 35T開發板在執行GPIO中斷函數的時候總是會連續進入兩次中斷的原因?

    移植蜂鳥內核的arty A7 35T 開發板在執行GPIO中斷函數的時候總是會連續進入兩次中斷。
    發表于 08-16 07:30

    arty A7 35T開發板用nuclei studio下載程序出錯是為什么?

    用的arty A7 35T開發板,移植的蜂鳥內核,調試器用的ARM-USB-TINY-H,使用IDE下載程序時,出現下面的情況: 但是最后是這樣的: 想問一下這樣有沒有下載成功
    發表于 08-16 08:04

    Nexys?4_Artix-7_FPGA_開發板原理圖

    Nexys?4_Artix-7_FPGA_開發板原理圖。
    發表于 08-03 19:37 ?135次下載

    Arty Board Artix-7 FPGA開源創客開發板用戶手冊

    Arty是一款基于Artix-7? FPGA而設計的,打開即用的開發平臺。Arty具有定制的MicroBlaze?處理器,可針對幾乎任何嵌入
    發表于 08-04 08:57 ?205次下載

    7 Arty開發板上實現四核8051處理器

    MicroCore實驗室將四個兼容8051微處理器核的MCL51處理器集成到售價$99的Avnet/Digilent Xilinx Arty 開發板上,該開發板基于Xilinx Artix
    發表于 02-08 11:09 ?442次閱讀

    7 35T Arty FPGA 評估套件

    Artix?-7 35T FPGA? 評估套件由 ?Avnet? 和 ?Digilen? 設計, ? 是一款完全可定制的開發套件,是需要高靈活、低功耗平臺的嵌入式設計人員的理想選擇。
    發表于 02-08 20:38 ?374次閱讀

    Artix A35T Arty評估套件評估Xilinx的AMS技術

    了解如何使用內置IPI且無任何HDL的簡單但功能強大的設計,快速輕松地在Artix A35T Arty評估套件上快速輕松地評估Xilinx的模擬混合信號(AMS)技術。 該視頻還展示了許多使其變得簡單的TCL腳本
    的頭像 發表于 11-29 06:25 ?2452次閱讀

    Artix-7 ARTY FPGA評估套件的演示

    該視頻演示了基于Artix-7的低功耗ARTY FPGA評估套件,該套件采用-1LI Artix-7 FPGA。 對于演示,該套件的工作功
    的頭像 發表于 11-29 06:23 ?2611次閱讀

    基于Artix-7 35T的評估套件的ARTY介紹

    當我們開啟ARTY板時,觀看此視頻。 ARTY完全關注Artix-7 FPGA的適應性和每瓦特性能以及降低成本的優勢。
    的頭像 發表于 11-27 06:27 ?2300次閱讀

    ARTY Board與Xilinx MicroBlaze的配合使用演示

    觀看此視頻,請參閱ARTY Board與Xilinx MicroBlaze軟核處理器的配合使用。 ARTY是一款基于Xilinx Artix-7 35T
    的頭像 發表于 11-27 06:26 ?2288次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>