<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

基于Digilent的Arty Artix-35T FPGA開發板的DDR3讀寫控制

電子設計 ? 來源:FPGA開源工作室 ? 作者:FPGA開源工作室 ? 2020-12-15 16:45 ? 次閱讀

將通過五篇文章來給大家講解xilinx FPGA 使用mig IP對DDR3的讀寫控制,旨在讓大家更快的學習和應用DDR3。

本實驗和工程基于Digilent的Arty Artix-35T FPGA開發板完成。

軟件使用Vivado 2018.1。

參考工程:ddr3_test。

第五篇:mig讀寫時序下板實現

1頂層文件和約束文件

ddr3_test.v

參見參考工程:ddr3_test。

ddr3.xdc

1. set_property PACKAGE_PIN E3 [get_ports clk]

2. set_property IOSTANDARD LVCMOS33 [get_ports clk]

3. set_property PACKAGE_PIN D9 [get_ports reset]

4. set_property PACKAGE_PIN E1 [get_ports init_calib_complete]

5. set_property IOSTANDARD LVCMOS33 [get_ports init_calib_complete]

6. set_property IOSTANDARD LVCMOS33 [get_ports reset]

2 下板實現讀寫時序

1>①完成綜合和實現

pIYBAF9uEieAIrp1AAViErRYsfQ950.png

2>下載bit文件和debug文件。

pIYBAF9uEi2AZQc7AAYUKHUxaAM100.png

3>下載完成,查看波形。


pIYBAF9uEjeACWcEAAT3eiBQ_C0230.png

4>將app_wdf_data數據格式改為Unsigned Decimal。

pIYBAF9uEj2AEF0vAAVALKHmVFA645.png

5>查看寫時序。

o4YBAF9uEkOAcmgvAAWiaV7G-80079.png


o4YBAF9uEkeAXgZ5AATOX99VEUc718.png

6>查看讀時序。

pIYBAF9uEkyALeHtAASqvJJhyBc481.png


o4YBAF9uElCAKKe0AATsbTmGwJU921.png

基于xilinx mig ip對ddr3讀寫驗證完成。

編輯:hfy


聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21367

    瀏覽量

    594681
  • DDR3
    +關注

    關注

    2

    文章

    272

    瀏覽量

    41895
收藏 人收藏

    評論

    相關推薦

    國產FPGA核心!米爾紫光同創Logos-2和Xilinx Artix-7核心

    的應用需求。 MYC-J2L100H核心 基于Xilinx Artix-7 XC7A100T核心基于Xilinx Artix-7? 2
    發表于 05-31 17:40

    FPGA核心板 Xilinx Artix-7系列XC7A100T開發平臺,米爾FPGA工業開發板

    MYC-J7A100T核心板及開發板Xilinx Artix-7系列XC7A100T開發平臺,FPGA工業芯XC7A100T-2FGG484I具有高度的可編程性和靈活性;高速傳輸和處理
    發表于 05-31 15:12 ?0次下載

    精選推薦!紫光盤古系列FPGA開發板信息匯總

    紫光盤古系列:盤古50K開發板 盤古50K開發板(MES50HP)采用了核心+擴展板的結構,核心與擴展板之間使用高速
    發表于 04-11 11:57

    DDR200T開發板FPGA模塊和gd32vf103之間是通過什么傳輸數據的?

    目前想要通過DDR200T開發板做一個基于FPGA的卷積神經網絡軟硬協同加速器 DDR200T開發板F
    發表于 01-10 06:28

    闡述DDR3讀寫分離的方法

    DDR3是2007年推出的,預計2022年DDR3的市場份額將降至8%或以下。但原理都是一樣的,DDR3讀寫分離作為DDR最基本也是最常用
    的頭像 發表于 10-18 16:03 ?649次閱讀
    闡述<b class='flag-5'>DDR3</b><b class='flag-5'>讀寫</b>分離的方法

    【米爾-全志T113-S3開發板- 極致雙核A7國產處理器-試用體驗】初玩全志T113-S3開發板試跑最高頻率測試

    128MB DDR3 256MB Nand Flash -40℃~+85℃ MYC-YT113S3-4E128D-110-I T113-S3 128MB DDR3 4GB eMMC
    發表于 09-09 18:07

    基于FPGADDR3讀寫測試

    本文介紹一個FPGA開源項目:DDR3讀寫。該工程基于MIG控制器IP核對FPGA DDR3實現
    的頭像 發表于 09-01 16:23 ?1001次閱讀
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>DDR3</b><b class='flag-5'>讀寫</b>測試

    基于AXI總線的DDR3讀寫測試

    本文開源一個FPGA項目:基于AXI總線的DDR3讀寫。之前的一篇文章介紹了DDR3簡單用戶接口的讀寫方式:《
    的頭像 發表于 09-01 16:20 ?2676次閱讀
    基于AXI總線的<b class='flag-5'>DDR3</b><b class='flag-5'>讀寫</b>測試

    arty A7 35T開發板用nuclei studio下載程序出錯是為什么?

    用的arty A7 35T開發板,移植的蜂鳥內核,調試器用的ARM-USB-TINY-H,使用IDE下載程序時,出現下面的情況: 但是最后是這樣的: 想問一下這樣有沒有下載成功
    發表于 08-16 08:04

    arty A7 35T開發板在執行GPIO中斷函數的時候總是會連續進入兩次中斷的原因?

    移植蜂鳥內核的arty A7 35T 開發板在執行GPIO中斷函數的時候總是會連續進入兩次中斷。
    發表于 08-16 07:30

    生成DDR200T開發板FPGA bit/mcs文件時如何下載Release package?

    求助,生成DDR200T開發板FPGA bit/mcs文件時需要下載Release package怎么下載?
    發表于 08-12 08:30

    基于Digilent Arty S7加速計的Windows鼠標

    電子發燒友網站提供《基于Digilent Arty S7加速計的Windows鼠標.zip》資料免費下載
    發表于 06-27 15:20 ?0次下載
    基于<b class='flag-5'>Digilent</b> <b class='flag-5'>Arty</b> S7加速計的Windows鼠標

    從零開始學習紫光同創FPGA——PGL22G開發板DDR3 IP簡單讀寫測試(六)

    1.DDR3 IP簡單讀寫測試實驗例程 1.1** 實驗目的** MES22GP 開發板上有一片 Micron 的 DDR3(MT41K256M16 TW107:P)內存組件,擁有 1
    發表于 06-25 17:10

    小眼睛FPGA盤古50K開發板概述

    小眼睛FPGA盤古50K開發板概述 盤古-50開發板(MES50H P)采用了核心板+擴展板的結構,核心板與擴展板之間 使用高速板對板連接器進行連接。核心板主要由FPGA+2顆
    發表于 06-14 15:00 ?1120次閱讀
    小眼睛<b class='flag-5'>FPGA</b>盤古50K<b class='flag-5'>開發板</b>概述

    【視頻】盤古Logos系列PGL22G關鍵特性評估@盤古22K開發板#紫光同創FPGA開發板

    【視頻】盤古Logos系列PGL22G關鍵特性評估@盤古22K開發板#紫光同創FPGA開發板#基于紫光同創40nm工藝的FPGA主控芯片(
    發表于 06-12 17:38
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>