<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>

電子發燒友App

硬聲App

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

電子發燒友網>可編程邏輯>參考設計>賽靈思FPGA DIY系列(2):數字存儲掃頻儀

賽靈思FPGA DIY系列(2):數字存儲掃頻儀

1234下一頁全文

本文導航

收藏

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴

評論

查看更多

相關推薦

7系列FPGA芯片-的“雄韜偉略”

面積縮減了50%,FPGA芯片在升級中,功耗和性能平衡得非常好?! ?2)Kintex-7 FPGA 系列——業界最佳性價比  堪稱“業界性價比之王”的Kintex-7 FPGA 系列,能以不到
2012-09-21 13:46:16

FPGA LX9 MicroBoard成為學習FPGA的另一低成本方法

Spartan-6 FPGA LX9 MicroBoard包括:Spartan-6 XC6SLX9-2CSG324C FPGA 64兆字節LPDDR SDRAM128兆位多I/O SPI
2017-02-10 17:12:21

FPGA是Xilinx好,還是Altera好?

, 你是無法說好或者不好的。就像孩子看電影電視, 常常問:這個是好人還是壞人? 我們不能給出精確的結論。在FPGA市場領域, 公司是FPGA的發明者, 無晶圓代工模式的先鋒,也是積極把FPGA
2012-02-28 09:59:27

FPGA是用altera多還是的多呢

FPGA是用altera多還是的多呢,我買的開發板是altera的,但是很多人推薦說學習的好
2016-01-09 21:27:25

FPGA的發展現狀如何?

FPGA的發展現狀如何?推出的領域目標設計平臺如何簡化設計、縮短開發時間?
2021-04-08 06:18:44

FPGA芯片選型

做pcie3.0協議分析,需要選取什么樣的FPGA芯片,請大神指點一下,最好是
2016-08-11 15:19:45

FPGA設計之浮點DSP算法實現【工程師作品】

FPGA設計之浮點DSP算法實現,DSP算法是很多工程師在設計過程中都會遇到的問題,本文將從FPGA設計的角度來講解浮點DSP算法的實現。FPGA設計之浮點DSP算法實現是工程師最新力作,資料不可多得,大家珍惜啊1FPGA設計之浮點DSP算法實現[hide][/hide]
2012-03-01 15:23:56

FPGA設計時序約束指南【工程師力作】

的一條或多條路徑。在 FPGA 設計中主要有四種類型的時序約束:PERIOD、OFFSET IN、OFFSET OUT 以及 FROM: TO(多周期)約束。FPGA設計時序約束指南[hide][/hide]`
2012-03-01 15:08:40

測量無源晶振怎么沒有圖像?

`剛開始學習Multisim,看教材里面(波特圖)的用法,然后嘗試測試一枚晶振的幅頻特性,一開始直接把晶振兩端各接一枚電阻到地,然后晶振兩端分別連接的In和Out,點擊仿真系統提示沒有
2019-02-15 22:11:25

的設計

設計一個基于DSP320系列應該從哪里下手呢,應該掌握哪些知識呢,可不可以提供相關資料,謝謝
2012-01-30 17:57:08

7系列采用FPGA電源模塊

。ROHM與安富利公司共同開發7系列FPGA及Zynq?–7000 All Programmable SoC的評估套件Mini-Module Plus 用的電源模塊。安富利公司已經開發出多款
2018-12-04 10:02:08

FPGA原理圖例子之s3astarter

`FPGA原理圖例子之s3astarter 一向是FPGA領域里的領先者,運用FPGA需要深入的理解它的工作原理,小編親子整理了s3astarter 的經典fpga原理圖分享給電子工程師們。FPGA原理圖例子之s3astarter [hide][/hide]`
2012-03-16 10:41:19

FPGA對DLP數字影院投影產生了哪些影響?

公司(Xilinx)日前宣布NEC子公司NEC Display Solutions有限公司的三款DLP數字影院投影產品,均采用了Virtex?-5 FPGA系列產品。
2019-08-19 07:12:03

FPGA設計大賽參賽者自評分表格下載

FPGA設計大賽參賽者自評分表格下載自評分表填寫指引:參賽者須于提交設計作品時一并呈交自評分表。每一個參賽作品最高可獲得10分自評分。請在適當的方格上打勾。參賽者作品自評分表格下載:[hide
2012-04-24 15:07:27

FPGA該怎么應對內窺鏡系統架構的挑戰?

  什么是FPGA?如何幫助內窺鏡制造商克服復雜的設計約束,生產出極具競爭優勢的產品?如何幫助他們成功構建外形小巧的低功耗內窺鏡攝像頭、高性價比的攝像機控制單元(CCU),以及多功能、低成本的圖像管理設備?  
2019-09-17 06:31:55

fpga設計比賽火爆進行中

fpga設計比賽于4月23日上線,得到了廣大電子工程師特別是fpga愛好者的大力關注和廣泛支持。本次大賽支持個人報名和團體報名,其中團隊報名數量達到了20個團隊。還沒有參加比賽的電子工程師
2012-06-06 14:49:12

ISE? 設計套件11.1版對FPGA有什么優化作用?

每一版本都提供了完整的FPGA設計流程,并且專門針對特定的用戶群體(工程師)和特定領域的設計方法及設計環境要求進行了優化。那大家知道ISE? 設計套件11.1版對FPGA有什么優化作用嗎?
2019-07-30 06:52:50

Spartan開發板使用困境記錄 精選資料分享

Spartan開發板使用困境記錄原理圖和接口主要是對照核心板的原理圖,一般的接法就是系列的單片機,連接好電源和下載器,記得預先安好驅動,驅動安裝成功與否能夠在設備管理器處查看。作者困境
2021-07-13 08:42:10

Verilog(FPGACPLD)設計小技巧

Verilog(FPGACPLD)設計小技巧
2012-08-19 22:52:02

Virtex-6 HXT FPGA ML630提供參考時鐘電路圖

Virtex-6 HXT FPGA ML630評估套件采用SiTime電子發燒友振具體型號為:SIT9102AI-243N25E200.0000,而目前針對這一型號sitime推出了抖動更低
2014-11-17 15:07:35

Zynq-7000可擴展處理平臺讓編程流程更簡單

的可擴展處理平臺(EPP), 在今年3月發布了基于Zynq -7000新系列的首批器件。 采用28 nm制造工藝, Zynq-7000嵌入式處理平臺系列的每款產品均采用帶有NEON及雙精度浮點引擎
2019-05-16 10:44:42

公司亞太區銷售與市場副總裁給XILINX客戶的信

尊敬的客戶朋友們:在此,我謹代表公司與您分享一個激動人心的喜訊: 3 月1 日,公司宣布全球第一片28nmFPGA 芯片(7K325T) 成功量產了!該里程碑式信息的發布,不僅是
2012-03-22 15:17:12

推出全球最大容量的FPGA – Virtex UltraScale+ VU19P

自適應和智能計算的全球領先企業公司(Xilinx, Inc.,(NASDAQ:XLNX))今天宣布推出全球最大容量的 FPGA – Virtex UltraScale+ VU19P,從而進一步
2020-11-02 08:34:50

有哪幾種ISE設計套件配置版本 ?

有哪幾種ISE設計套件配置版本 ?
2021-04-30 06:30:50

FPGA用什么開發工具編程,有沒有大佬分享一下安裝包

FPGA用什么開發工具編程,有沒有大佬分享一下安裝包
2018-05-24 17:51:38

的DDR3讀寫地址一直重復怎么辦?

最近在用的DDR3,用的AXi4接口,我寫入的地址是按照突發長度來的,連續給8個讀的地址,但是在DDR3端,dq_addr 一直在1418,1000,1010,0003,0002 等幾個地址中
2016-06-24 10:38:18

高性能40nm Virtex-6 FPGA系列通過全生產驗證

【來源】:《電子設計工程》2010年02期【摘要】:&lt;正&gt;公司與聯華電子共同宣布,采用聯華電子高性能40nm工藝的Virtex-6FPGA,已經完全通過生產前的驗證
2010-04-24 09:06:05

(XILINX)全新7系列FPGA詳述

(XILINX)全新7系列FPGA詳述
2012-08-14 12:20:22

AD9958程序設置向下時時無法正常

本人在寫AD9958的程序時,設置向上時輸出正常,設置向下時時無法正常,輸出只要0X04設置的頻率即S0,請問是哪里出問題了?如果說是寄存器配置問題那么為什么向上沒有問題,要向下就有問題了呢?以下附上部分程序,求高手指教!
2018-09-14 11:41:39

EIMKT求購Xilinx()微處理器 原裝現貨

。Xilinx()微處理器是全球領先的可編程邏輯完整解決方案的供應商,具有廣泛的高級集成電路、軟件設計工具以及作為預定義系統級功能的IP核,其產品被廣泛運用在無線電話基站、DVD播放機的數字電子應用技術中
2019-10-18 11:46:45

Xilinx UltraScale 系列發布常見問題匯總

90%以上的器件利用率?! ∈着鶮intex?和Virtex? UltraScale器件的推出將進一步擴展的All Programmable產品系列?! ?b class="flag-6" style="color: red">2. UltraScale架構如何應對
2013-12-17 11:18:00

XilinxFPGA技術及應用線上公開課

` 本帖最后由 MGJOY 于 2017-4-10 15:07 編輯 本周三,4月12日,FPGA技術及應用線上公開課。歡迎大家觀看、學習交流~分享主題【FPGA人工智能領域技術及應用】嵌入式視覺領域技術和解決方案機器學習方面的技術和解決方案ADAS/自動駕駛方面的應用`
2017-04-10 15:06:16

Xilinx方案

能做方案的,請聯系
2019-01-21 19:31:40

lerking【DIY進程帖】基于FPGA數字存儲

本帖最后由 lerking 于 2012-7-9 21:07 編輯 【DIY進程帖】之DAC的設計與優化 大家好!這是我們參加此次FPGA設計大賽的DIY進程貼,選的題目是基于FPGA
2012-07-09 20:49:01

”搶樓活動第二輪,中獎樓層公布!

://www.qd573.com/topic/xilinx/?ck=forum_QL);注:中獎最終名單,將在觀看視頻時登記信息中進行核對,核對成功后為搶樓成功;2、本次搶樓有15個幸運樓層,該
2013-10-11 10:40:34

FPGA參賽作品】基于FPGA數字存儲

頻率特征測試是用來測量電路傳輸特性和阻抗特性的儀器,簡稱。頻信號源是的主要功能部件,作用是產生測量用的正弦頻信號,其范圍可調,輸出信號幅度等幅。本設計采用DDS(數字
2012-07-07 11:09:41

【AD新聞】新CEO訪華繪藍圖,7nm ACAP平臺要讓CPU/GPU難企及

片。 首款ACAP產品系列,將是采用臺積電7納米工藝技術開發的代號為“Everest(珠穆朗瑪峰)”的產品系列 ACAP的核心是新一代的FPGA架構,結合了分布式存儲器與硬件可編程的DSP模塊
2018-03-23 14:31:40

【PYNQ-Z2申請】基于PYNQ-Z2平臺的圖像實時力學測量

項目名稱:基于PYNQ-Z2平臺的圖像實時力學測量試用計劃:申請理由本人在圖像輔助力學測量領域有三年的研究經驗,曾設計過類似基于光學及圖像的微納力學傳感器,想借助發燒友論壇和
2019-01-09 14:49:25

為什么說已經遠遠領先于Altera?

Altera和20年來都在FPGA這個窄眾市場激烈的競爭者,然而Peter Larson基于對兩個公司現金流折現法的研究表明,是目前FPGA市場的絕對領先者。
2019-09-02 06:04:21

什么是豐富目標設計平臺?

今年年初,率先在FPGA領域提出目標設計平臺概念,旨在通過選用開放的標準、通用的開發流程以及類似的設計環境,減少通用工作對設計人員時間的占用,確保他們能集中精力從事創新性的開發工作。
2019-08-13 07:27:15

低頻數字

本帖最后由 gk320830 于 2015-3-8 11:43 編輯 簡易低頻數字以128×64LCD為顯示屏設計一個簡易低頻數字.其組成原理見如下圖1:通過自行產生的信號加載到被測系統上,測試系統(網絡)的幅、相頻特性和某一點頻信號的時域特性,并顯示信號參數和時域響應波形。
2013-04-03 13:40:50

使用MATLAB & Simulink Add-on插件面向Versal AI引擎設計

MATLAB & Simulink Add-on插件是將 ModelComposer 和 System Generator forDSP完美結合的統一工具。
2021-01-28 06:33:40

關于DIY簡易低頻的設計方案介紹

通濾波將語音信號的頻帶限制在300Hz~3400Hz范圍內。無疑,在以上這一系列語音信號處理過程中,缺少不了1MHz以下的儀器,特別是進行300Hz~3400Hz帶通濾波器的設計與生產時,在調整與測試過程中必須使用低頻進行數據檢驗。
2019-06-04 06:12:53

創遠軟件及硬件版本遠程升級的方式有哪些?

隨著創遠的發展,為了推出更好的服務,提高工作性能,創遠的硬件版本正在不斷地進行更新。在硬件不斷成長的同時,軟件也在成熟,逐漸開發出了更新穎的應用分析方式及修復一些困擾
2019-08-09 06:46:45

哪位大神能提供款的捕捉頻率高于400m,LVDS引腳數有130個,初學者請多多指教

哪位大神能提供款的捕捉頻率高于400m,LVDS引腳數有130個,初學者請多多指教
2015-08-07 08:58:08

回收Xilinx芯片 收購芯片

回收Xilinx帶板芯片, 回收工廠XILINX系列IC:XC3S1500FGG676EGQ、XC5VLX50-1FFG676、XC5VLX110-1FFG676C
2021-12-17 10:02:19

回顧Elecfans開放日之“跟安富利學FPGA的工業應用“

了解FPGA實現信號處理的基本方法以及在工業控制領域的應用2了解FPGA 實現馬達控制、運動控制和工業實時以太網的技術特點3了解Xilinx Zynq(內含Cortex-A9雙核)系列在實現工業智能
2013-11-01 13:48:38

FPGA中使用ARM及AMBA總線

國外的融合技術專家展示了一項基于FPGA的數據采集系統,用于合成孔徑成像技術。采用了Xilinx ISE設計軟件,支持ARM AMBA AXI4接口。文風犀利,觀點新穎,FPGA中使用ARM及AMBA總線中不可多得的資料在FPGA中使用ARM及AMBA總線[hide][/hide]
2012-03-01 15:48:17

基于FPGA的EtherCAT主站運動控制

基于FPGA的EtherCAT主站總線控制 ,論壇有做運動控制這方面的技術嗎?目前我已實現帶32軸同步運行,同步抖動±75ns,控制精度125us。感興趣的可以一起探討下
2018-07-23 12:00:39

基于FPGA的卷積神經網絡實現設計

作者:Nagesh Gupta 創始人兼 CEOAuviz Systems Nagesh@auvizsystems.com憑借出色的性能和功耗指標, FPGA 成為設計人員構建卷積神經網絡
2019-06-19 07:24:41

基于DDS信號源的測試應用

DG5000 為例來詳細說明DDS信號源在測試中的具體應用。1. DG5000 提供1uHz~250MHz 的范圍;2. 類型支持“線性”、“對數”和“步進”;3. 時間
2019-06-06 07:39:43

基于Labview的虛擬

哪位大神可以幫忙寫個基于Labview的虛擬的程序啊,急,謝謝了
2014-12-11 18:06:39

大神們,急求:的Labview程序圖?。。。。?!

Labview程序圖 急求 希望大神能幫忙 郵箱:1325383876@qq.com
2017-05-15 17:09:47

如何使用FPGA加速包處理?

FAST包處理器的核心功能是什么如何使用FPGA加速包處理?
2021-04-30 06:32:20

如何利用28納米工藝加速平臺開發?

全球可編程邏輯解決方案領導廠商公司 (Xilinx Inc.) 宣布,為推進可編程勢在必行之必然趨勢,正對系統工程師在全球發布新一代可編程FPGA平臺。和前代產品相比,全新的平臺功耗降低
2019-08-09 07:27:00

寬頻ADC中的數字下變頻研究

針對分復用(FDM) 應用進行額外濾波。高性能GSPS ADC現將數字下變頻(DDC)功能在信號鏈中進一步提升,以使其位于基于FPGA的設計解決方案的ADC之中。該方案為高速系統架構師提供了多種
2019-07-29 07:14:03

開源Labview設計

`` 本帖最后由 cc2016 于 2016-12-15 14:19 編輯 制作一個簡單的,可以用來測試電路的幅頻特性。下面是制作過程和上位機源碼,歡迎下載討論。``
2016-12-14 16:57:51

怎么利用FGPA實現降采樣FIR濾波器?

怎么利用FGPA實現降采樣FIR濾波器?這種濾波器在軟件無線電與數據采集類應用中都很常見。
2019-08-15 08:21:22

提交FPGA設計方案,贏取FPGA開發板

“玩轉FPGA:iPad2,開發板等你拿”活動持續火爆進行中……………………活動得到了廣大電子工程師積極強烈的支持,為了回報電子工程師和網站會員,現在只需提交fpga設計方案,就有機會獲得
2012-07-06 17:24:41

有哪位大神有NW11235 DDS數字數據手冊或說明書

有哪位大神有NW11235DDS數字數據手冊或說明書,小弟不勝感激!
2016-10-24 16:12:11

的開發環境ISE軟件下載地址

剛開始學FPGA,求他的ISE軟件下載地址,我在網上沒搜到。謝謝了
2012-08-02 09:52:12

玩轉FPGA (xilinx)FPGA設計大賽圓滿結束

數字存儲      顏嘉元(lh023)——HDUSec-網絡行為分析監控系統系統    三等獎      曹亮星(caoLCKING)——FPGA溫控風扇的設計      王洪寬
2012-09-06 11:52:48

玩轉FPGA (xilinx)FPGA設計大賽獲獎名單?。?!

定位號與識別系統    二等獎      馬崇鶴(lerking) ——基于FPGA數字存儲      顏嘉元(lh023)——HDUSec-網絡行為分析監控系統系統    三等獎      曹
2012-09-06 11:54:16

玩轉FPGA,FPGA設計大賽開賽啦

與技術學院教授史治國:浙江大學信息與電子工程學系副教授徐文波:畢業于北京郵電大學碩士研究生,FPGA圖書作者活動獎品一等獎二等獎三等獎幸運獎1名5名10名若干iPad2+Xilins Spartan-6開發板Xilins Spartan-6開發板電子發燒友T恤官方期刊雜志`
2012-04-23 09:31:16

玩轉FPGA,FPGA設計大賽活動細則,參賽必看

本帖最后由 eehome 于 2013-1-5 10:00 編輯 玩轉FPGA,FPGA設計大賽 本次大賽鼓勵參賽者使用當前最受歡迎的熱點技術領域和熱點芯片為主的方案,來作為大賽
2012-04-24 14:40:58

詳解All Programmable Smarter Vision解決方案

詳解All Programmable Smarter Vision解決方案
2021-06-02 06:56:12

請問怎樣去設計系統?

如何設計系統的硬件部分?如何設計系統的軟件部分?
2021-04-28 06:45:47

這顆是限制料還是翻新料?

絲印查不到系列型號,引腳數量也對不上所有型號規格,也沒有韓國產地
2023-02-24 17:01:32

追求性能提升 使用8GB HBM2顯存

季度內?! ?b class="flag-6" style="color: red">FPGA芯片這兩年大熱,廠商對性能的追求也提升了,繼Altera之后(Xilinx)公司現在也宣布推出基于HBM 2顯存的Virtex UltraScale+系列FPGA芯片,該芯片
2016-12-07 15:54:22

選擇(Xilinx)FPGA 7系列芯片的N個理由

  電子發燒友網訊:FPGA 7系列芯片正以燎原之勢席卷整個行業。在本文,電子發燒友網小編將帶領大家一起走近Xilinx的FPGA 7系列芯片,從全新FPGA 7系列芯片的介紹、芯片優點、芯片
2012-09-06 16:24:35

采用FPGA實現DisplayPort詳細教程【內部資料】

公司高級產品營銷經理 Neal KendallQuantum Data市場營銷經理采用FPGA實現DisplayPort詳細教程【內部資料】[hide][/hide]
2012-03-01 11:10:18

高價回收系列IC

高價回收系列IC長期回收系列IC,高價求購系列IC。深圳帝歐長期回收ic電子料,帝歐趙生***QQ1816233102/879821252郵箱dealic@163.com。帝歐回收
2021-04-06 18:07:50

高性能GSPS ADC為基于FPGA的設計解決方案帶來板載DDC功能

。對于這種情況,我們利用Artix-7 FPGA 中現有的16GTP 收發器設計出采用DDC的八ADC結構(圖2)。這樣能更高效使用FPGA資源,成為一組FDM通道的多通道數字接收器。DDC濾波器
2019-06-14 05:00:09

:“玩轉FPGA (xilinx)FPGA設計大賽”獲獎獎品展示

  電子發燒友網訊:由(xilinx)公司和華強PCB網贊助,電子發燒友網主辦的玩轉FPGA,設計大賽已經圓滿結束。本活動獲獎名單已經公布,詳見:玩轉FPGA (xilinx
2012-09-06 14:33:50

Xilinx/ XCS40XL-5PQ240C FPGA現場可編程邏輯器件 IC FPGA 192 I/O 240QFP

品牌XILINX/封裝240-PQFP批次08+數量3500濕氣敏感性等級 (MSL)3(168 小時)產品族嵌入式 - FPGA(現場可編程門陣列)系列Spartan?-XLLAB/CLB
2022-04-19 09:45:33

XC7A50T-1FGG484C FPGA可編程邏輯器件XILINX/

XC7A50T-1FGG484C FPGA可編程邏輯器件XILINX/ALINX SoM AC7A50T,基于Artix-7 XC7A50T-1FGG484C,由FPGA + 2 DDR3
2022-06-17 17:53:59

790.被并入AMD對中國FPGA廠商有什么意義?

fpga
小凡發布于 2022-10-05 02:52:44

已全部加載完成

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>