<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>

電子發燒友App

硬聲App

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

電子發燒友網>可編程邏輯>如何正確應用FPGA的四種時鐘資源?

如何正確應用FPGA的四種時鐘資源?

收藏

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴

評論

查看更多

相關推薦

FPGA設計小Tips:如何正確使用FPGA時鐘資源

賽靈思在其FPGA中提供了豐富的時鐘資源,大多數設計人員在他們的FPGA設計中或多或少都會用到。不過對FPGA設計新手來說,什么時候用DCM、PLL、PMCD和MMCM四大類型中的哪一種,讓他們頗為困惑。本文為您解惑......
2013-07-23 09:25:5319707

如何把握FPGA的數字時鐘管理器

,什么時候用DCM、PLL、PMCD和MMCM四大類型中的哪一種,讓他們頗為困惑。賽靈思現有的FPGA中沒有一款同時包含這四種資源(見表1)。 這四大類中的每一種都針對特定的應用。例如,數字時鐘管理器(DCM)適用于實現延遲鎖相環(DLL)、數字頻率綜合器、數字移相器或數字
2021-02-13 17:02:002014

全局時鐘資源和網絡的路徑和組件組成

引言:本文我們介紹一下全局時鐘資源。全局時鐘是一個專用的互連網絡,專門設計用于到達FPGA中各種資源的所有時鐘輸入。
2022-07-14 09:15:351538

Xilinx 7系列FPGA架構之時鐘路由資源介紹

7系列FPGA擁有豐富的時鐘資源。各種緩沖器類型、時鐘輸入管腳和時鐘連接,可以滿足許多不同的應用需求。選擇合適的時鐘資源可以改善布線、性能和一般FPGA資源利用率。BUFGCTRL(最常用作BUFG
2022-07-22 09:40:252475

Xilinx 7系列FPGA時鐘資源架構

7系列FPGA時鐘資源通過專用的全局和區域I/O和時鐘資源管理符合復雜和簡單的時鐘要求。時鐘管理塊(CMT)提供時鐘頻率合成、減少偏移和抖動過濾等功能。非時鐘資源,如本地布線,不推薦用于時鐘功能。
2022-07-28 09:07:341276

Xilinx FPGA時鐘資源概述

“全局時鐘和第二全局時鐘資源”是FPGA同步設計的一個重要概念。合理利用該資源可以改善設計的綜合和實現效果;如果使用不當,不但會影響設計的工作頻率和穩定性等,甚至會導致設計的綜合、實現過程出錯
2023-07-24 11:07:04655

Xilinx 7系列FPGA時鐘結構解析

通過上一篇文章“時鐘管理技術”,我們了解Xilinx 7系列FPGA主要有全局時鐘、區域時鐘、時鐘管理塊(CMT)。 通過以上時鐘資源的結合,Xilinx 7系列FPGA可實現高性能和可靠的時鐘分配
2023-08-31 10:44:311032

1.6KHz輸出幅度可調四種波形發生器

1.6KHz輸出幅度可調四種波形發生器
2016-07-10 17:16:48

51單片機的四種串行口工作模式怎么設置

51單片機定時計數器溢出率計算和串口的波特率之間的關系51單片機的四種串行口工作模式設置
2021-03-01 06:46:30

74hc259的四種模式

74hc259的datasheet中有四種工作模式,具體是怎么個情況看不大明白,求助大家。下圖為其真值表,
2014-07-15 13:00:48

FPGA 設計的四種常用思想與技巧

FPGA 設計的四種常用思想與技巧FPGA設計的四種常用思想與技巧 討論的四種常用FPGA/CPLD設計思想與技巧:乒乓操作、串并轉換、流水線操作、數據接口同步化,都是FPGA/CPLD 邏輯設計
2012-08-11 10:30:55

FPGA設計的四種常用思想與技巧

本文討論的四種常用FPGA/CPLD設計思想與技巧:乒乓操作、串并轉換、流水線操作、數據接口同步化,都是FPGA/CPLD邏輯設計的內在規律的體現,合理地采用這些設計思想能在FPGA/CPLD
2011-10-20 09:12:36

FPGA設計的四種常用思想與技巧

FPGA設計的四種常用思想與技巧
2012-08-20 17:16:35

FPGA設計的四種常用思想與技巧,幫你成為FPGA設計高手!

; 四種常用FPGA/CPLD設計思想與技巧:乒乓操作、串并轉換、流水線操作、數據接口同步化,都是FPGA/CPLD邏輯設計的內在規律的體現,合理地采用這些設計思想能在FPGA/CPLD設計工作取得
2010-11-01 13:17:36

四種FPGA 電源排序方案

FPGA 引腳之間增設幾個 AND 門,就能給圖 4 中的電路添加受監視的排序功能。在該例中,PS2 僅在PS1超過其終值的90% 的情況下使能。這種方法可提供一低成本、受監視的排序解決方案。方法
2019-09-17 14:22:00

四種不同供電模式的LED拓撲介紹

本文中,小編將為大家介紹四種在LED供電當中經常使用的四種拓撲結構。感興趣的朋友快來看一看吧。 首先需要從了解轉換器的最小及最大輸出電壓入手。這只是將所有LED正向壓降與傳感電阻器電壓相加的總數
2018-10-10 15:07:41

四種主要的負電源軌生成方案如何選擇

四種主要的負電源軌生成方案如何選擇
2021-03-11 06:00:41

四種二極管的區別和工作原理是什么?

`這四種有什么區別?他們的工作原理分別是什么?求大牛們解答`
2015-07-09 17:47:20

四種典型瞬態介紹

,我將介紹應該注意的幾種典型瞬態,以及TI如何幫助滿足瞬態保護需求。 瀏覽此文章,并查看參考設計:《汽車瞬態和過流保護濾波器參考設計》 典型瞬態在四種常見場景中可能會發生瞬變。圖1所示為第一場景
2022-11-07 08:02:32

四種型號的手持式示波器評估

  手持式示波器曾經被認為是臺式型號的小兄弟,現在它可以提供足以與大哥匹敵的性能水平,同時還具有電池供電工作和便攜性等臺式儀器不具備的優點。本人對以下四種型號的儀器進行了評估,以考察這類覆蓋很寬價格
2019-07-22 06:25:18

四種常用FPGA/CPLD設計思想與技巧

本文討論的四種常用FPGA/CPLD設計思想與技巧:乒乓操作、串并轉換、流水線操作、數據接口同步化,都是FPGA/CPLD邏輯設計的內在規律的體現,合理地采用這些設計思想能在FPGA/CPLD
2016-05-20 15:10:10

四種常用FPGA/CPLD設計思想與技巧

/u/97edd21e88 本文討論的四種常用FPGA/CPLD設計思想與技巧:乒乓操作、串并轉換、流水線操作、數據接口同步化,都是FPGA/CPLD 邏輯設計的內在規律的體現,合理地采用這些設計思想
2019-07-03 08:30:00

四種常用晶體管開關電路(2NMOS,2PMOS)

的第一個電路。 本文將展示四種晶體管開關電路,其中2使用NMOS,2使有PMOS。 在電路設計過程中,有時需要“獨立”控制幾個開關的通與斷。例如構造某種波形。晶體管開關能夠實現一些開關的通與斷不會
2016-08-30 01:01:44

四種常用的FPGA設計思想與技巧

四種常用的FPGA設計思想與技巧
2017-11-05 15:03:29

四種無線充電技術簡單原理

詳細介紹了電場耦合 電磁感應 磁共振無線電波 這四種方式
2016-07-28 11:12:08

四種波形發生器

四種波形發生器
2020-03-11 08:14:27

A/D轉換的四種誤差

針對A/D轉換中可能出現的誤差,主要分為以下四種情況,漂移誤差、線型比例誤差、非線性誤差、非單調性誤差。還有那些能夠引起AD轉換出現比較大的誤差類別? 先說AD轉換是怎么取值的,假設輸入信號0-3V
2024-01-30 14:19:21

C++中的四種類型轉換分別是哪些?C++中析構函數的作用是什么

C++中的四種類型轉換分別是哪些?C++中析構函數的作用是什么?在C語言中關鍵字static主要有何作用?
2021-12-24 06:57:40

DDS AD9910的四種工作調制模式是什么意思

DDS AD9910的四種工作調制模式是什么意思?說是有單頻模式數字斜坡模式RAM模式 和并行模式如果我想實現輸出頻率從0到100K 應該用什么模式希望用過DDS芯片的給指點一下非常感謝
2013-07-16 19:37:36

IO口的四種使用方法

IO口的四種使用方法高阻態的典型應用
2021-01-12 07:16:33

IO口的四種使用方法

IO口的四種使用方法高阻態的典型應用
2021-02-02 06:58:58

IO口的四種使用方法

IO口的四種使用方法高阻態的典型應用
2021-02-19 07:23:09

ModBus四種數據DI/DO/AI/AO是什么?

ModBus四種數據DI/DO/AI/AO是什么?
2021-11-02 07:14:17

PADS封裝中的四種

PADS中有四種庫(暫且論是四種),元器件封裝庫(Decals),元件類型(Part Type),和邏輯封裝庫(CAE),圖形庫(Lines)。簡明點說他們的關系,CAE是用在畫原理圖時候用
2015-03-06 10:35:50

SPI的四種時序

聲明:本篇文章面向在已對SPI的四種時序有所了解的人我們采用SPI3模式以及將FPGA作從機,STM32作主機的方式講解,在STM32控制部分采用的是半雙工模式,但其實半雙工與全雙工區別不大,稍加
2022-02-09 06:18:21

SPI究竟為什么要搞出這四種模式呢?

小弟最近在學習SPI協議,知道了根據CPOL和CPHA的不同,SPI有4模式,可是沒有想通究竟為什么要搞出這四種模式呢?大家都統一成其中的一,通用性不是更強嗎?請高手解答。
2020-03-07 15:10:55

STM32芯片GPIO的四種輸入模式和四種輸出模式

STM32芯片的GPIO一共有8配置模式,對8模式的理解如下1.四種輸入模式上拉輸入:在默認狀態下,讀取的GPIO引腳為高電平下拉輸入:在默認狀態下,讀取的GPIO引腳為低電平浮空輸入:配置成
2019-05-21 07:55:20

STM8定時器1的四種觸發同步是什么?

STM8定時器1的四種觸發同步是什么?
2021-11-24 07:19:46

與你分享濾波器分哪四種?

與你分享濾波器分哪四種?濾波器分為低通、高通、帶通和帶阻濾波器四種:1、低通濾波器;允許信號中的低頻或直流分量通過;抑制高頻分量或干擾和噪聲。2、高通濾波器;允許信號中的高頻分量通過;抑制低頻或直流
2014-04-29 10:38:45

為什么四種DDR驗證BIST測試類型無法執行并且顏色編碼指示?

你好任何人都可以解釋為什么四種 DDR 驗證 BIST 測試類型無法執行并且顏色編碼指示“…………測試腳本中的錯誤”?我能夠成功執行 DDR 驗證階段和其他四種 DDR 驗證測試類型(DMA 測試
2023-04-06 08:54:58

介紹AUTOSAR支持的四種功能安全機制

1、AUTOSAR的四種功能安全機制雖然AUTOSAR不是一個完整的安全解決方案,但它提供了一些安全機制用于支持安全關鍵系統的開發。本文用于介紹AUTOSAR支持的四種功能安全機制:內存分區
2022-06-10 17:33:39

介紹UPS電源的四種工作方式

UPS電源是較為常見的應急電源系統,其在市電正常與市電異常的情況下,工作方式也有所不同,以下介紹UPS電源的四種工作方式:正常運行、電池工作、旁路運行和旁路維護。1、正常運行方式 UPS電源系統
2021-11-16 06:19:28

他們說得4個電容排放不佳,可是正確應該如何排放好呢?

https://bbs.elecfans.com/forum.php?mod=viewthread&tid=460154 這是原網址,他們說得4個電容排放不佳,可是正確應該如何排放好呢?
2016-10-11 11:23:57

使用FPGA時鐘資源小技巧

,什么時候用DCM、PLL、PMCD和MMCM大類型中的哪一,讓他們頗為困惑。賽靈思現有的FPGA中沒有一款同時包含這四種資源(見表1)?! ∵@大類中的每一都針對特定的應用。例如,數字時鐘管理器
2020-04-25 07:00:00

使用STM32F103C8T6 + STM32CubeMX做項目時常見的四種問題應該如何解決?

使用STM32F103C8T6 + STM32CubeMX做項目時常見的四種問題應該如何解決?
2021-10-22 09:06:14

關于消防水泵控制器的四種啟動方式

控制器GYXF3100-4XP。關于它們的啟動方式,主要有四種:直接啟動、星三角啟動、自耦降壓啟動、軟啟動。那么,關于這四種啟動方式,你知道多少呢?哪種啟動方式呢?哪種啟動方式比較經濟實惠呢?哪種啟動
2021-09-13 08:34:04

單片機四種輸入模式

單片機四種輸入模式 MCU輸入模式有浮空、上拉、下拉、模擬輸入這四種模式,如果采用上拉或者下拉模式輸入,豈不是把真實的信號強行變成電源VCC或者GND了?這四種模式分別在什么場合下使用?這個對于嵌入式軟件工程師來說很重要
2021-08-24 16:19:27

單片機最常用的四種燒寫方式是什么?

單片機最常用的四種燒寫方式是什么?
2021-09-27 07:53:43

變頻器主要支持四種模式

變頻器主要支持四種模式:無PG的V/F模式,有PG的V/F模式,無PG的矢量控制模式,有PG的矢量模式。 PG 是指旋轉編碼器。這四種控制模式主要的技術指標如下表所示??刂颇J綗oPG VF控制有PG
2021-09-03 06:57:46

大數據的四種思維方式

大數據所帶來的四種思維方式的轉變
2019-08-12 11:37:02

大神常用的四種FPGA/CPLD設計思想與技巧

四種常用FPGA/CPLD設計思想與技巧:乒乓操作、串并轉換、流水線操作、數據接口同步化,都是FPGA/CPLD邏輯設計的內在規律的體現,合理地采用這些設計思想能在FPGA/CPLD設計工作取得
2020-05-01 07:00:00

如何正確使用FPGA時鐘資源?

 把握DCM、PLL、PMCD和MMCM知識是穩健可靠的時鐘設計策略的基礎。賽靈思在其FPGA中提供了豐富的時鐘資源,大多數設計人員在他們的FPGA設計中或多或少都會用到。不過對FPGA設計新手來說,什么時候用DCM、PLL、PMCD和MMCM大類型中的哪一,讓他們頗為困惑。
2019-09-18 08:26:21

如何通過撥碼開關信號將四種波形來回切換

在multisim中我已經通過滯回電壓比較器,積分電路,濾波,及cmos反相器分別形成了方波,三角波,正玹波及矩形波,那么我現在要通過一方式將這四種波形可以選一?
2020-05-16 22:22:56

年輕人該怎么正確應對高血壓

  年輕人該怎么正確應對高血壓,在當今社會,發生高血壓的人群已經越來越年輕化,主要是因為有些都市人一直處于亞健康的生活方式,這樣久而久之就會導致自己的身體出現一些病變,高血壓就是一比較突出的疾病
2013-04-01 16:25:18

應對未來移動數據業務的高速增長的四種手段探討

未來10年全球移動業務將快速增長,本文分析了推動移動業務增長背后的原因,提出通過技術演進、增加IMT頻譜、提高網絡密度和加大業務分流四種途徑解決未來巨大的網絡壓力。綜合使用這四種手段才能滿足未來移動業務的需求。
2019-06-17 07:37:22

手機射頻電路四種架構具體是什么?有什么區別?

為滿足成本、功耗和制造工藝的需求,我們提出如下四種發送電路架構:極性反饋(Polar Feedback)“Lite”、極性反饋、極性開環、直接調制(零差)。
2019-08-22 06:54:37

接入網四種結構的優缺點

被稱為“最后一公里”的接入網,一共有四種結構,分別是總線形結構、環形結構、星形結構和樹形結構,下面就簡單地介紹一下這四種結構的優缺點。一是總線形結構。指以光纖作為公共總線、各用戶終端通過耦合器與總
2020-12-01 16:11:44

無線充電的四種方式及比較

無線充電的起因無線充電的“歷史”無線充電的四種方式及比較無線充電系統的元件和開發工具推薦
2021-01-27 07:06:05

四種圖像噪聲處理的MATLAB程序

數模題目:圖像去噪中幾類稀疏變換的矩陣表示求幫助離散余弦變換,離散小波變換,主成分分析 和奇異值分解這四種的MATLAB編程程序
2015-05-15 18:34:34

淺析SQL的四種連接方式

SQL的四種連接-左外連接、右外連接、內連接、全連接
2020-03-20 11:18:14

獨立鍵盤的四種使用方式程序分享

藍橋杯單片機組簡易問題與代碼(5)今日問題:獨立鍵盤的四種使用方式程序僅供參考,鼓勵大家獨立完成#include #define uint unsigned int#define uchar
2022-01-12 06:54:43

系統的時鐘配置

起來時的時鐘配置時鐘配置是嵌入式程序中比較重要且基礎的部分。有四種不同的時鐘源,可用于驅動系統時鐘(SYSCLK)。時鐘源:HSI16、HSE、PLL、MSIAHB、APB1...
2021-08-18 07:27:07

請問DDS AD9910上的四種工作模式是什么意思,有什么區別?

DDS AD9910的四種工作調制模式是什么意思?說是有單頻模式數字斜坡模式RAM模式 和并行模式如果我想實現輸出頻率從0到100K 步進應該用什么模式希望用過DDS芯片的給指點一下非常感謝
2018-12-04 09:07:56

請問MATHLIB的庫函數為什么每個都有四種?有什么區別?

mathlib_c66x_3_0_1_1:請問大神們,MATHLIB的庫函數為什么每個都有四種?比如說單精度的Atansp就有這四種:(1)floatatansp(float a)(2
2018-07-24 07:39:17

DLL在FPGA時鐘設計中的應用

DLL在FPGA時鐘設計中的應用:在ISE集成開發環境中,用硬件描述語言對FPGA 的內部資源DLL等直接例化,實現其消除時鐘的相位偏差、倍頻和分頻的功能。時鐘電路是FPGA開發板設計中的
2009-11-01 15:10:3033

FPGA全局時鐘資源相關原語及使用

  FPGA全局時鐘資源一般使用全銅層工藝實現,并設計了專用時鐘緩沖與驅動結構,從而使全局時鐘到達芯片內部的所有可配置單元(CLB)、I/O單元(IOB)和選擇性塊RAM(Block Select RAM)的
2010-09-10 17:25:272175

基于FPGA時鐘設計

FPGA設計中,為了成功地操作,可靠的時鐘是非常關鍵的。設計不良的時鐘在極限的溫度、電壓下將導致錯誤的行為。在設計PLD/FPGA時通常采用如下四種類型時鐘:全局時鐘、門控時鐘
2011-09-21 18:38:583472

FPGA設計的四種常用思想與技巧

FPGA_設計的四種常用思想與技巧,下來看看
2016-12-17 21:16:2617

如何正確使用FPGA時鐘資源

如何正確使用FPGA時鐘資源
2017-01-18 20:39:1322

Xilinx 7 系列的時鐘資源(1)

談到數字邏輯,談到FPGA設計,每位工程師都離不開時鐘。這里我們簡單介紹一下xilinx 7 系列中的時鐘資源。時鐘設計的好壞,直接影響到布局布線時間、timing的收斂情況,FPGA時鐘
2017-02-08 05:33:31561

FPGA全局時鐘和第二全局時鐘資源的使用方法

目前,大型設計一般推薦使用同步時序電路。同步時序電路基于時鐘觸發沿設計,對時鐘的周期、占空比、延時和抖動提出了更高的要求。為了滿足同步時序設計的要求,一般在FPGA設計中采用全局時鐘資源驅動設計的主時鐘,以達到最低的時鐘抖動和延遲。
2017-02-11 11:34:114223

spartan-6 FPGA時鐘資源的用戶指南資料免費下載

本文檔的主要內容詳細介紹的是spartan-6 FPGA時鐘資源的用戶指南資料免費下載。
2019-02-15 16:39:0727

關于管腳 FPGA重要的資源之一

管腳是FPGA重要的資源之一,FPGA的管腳分別包括,電源管腳,普通I/O,配置管腳,時鐘專用輸入管腳GCLK等。
2019-06-28 14:34:073703

FPGA時鐘資源詳細資料說明

區域(Region):每個FPGA器件被分為多個區域,不同的型號的器件區域數量不同。 FPGA時鐘資源主要有三大類:時鐘管理模、時鐘IO、時鐘布線資源。 時鐘管理模塊:不同廠家及型號的FPGA
2020-12-09 14:49:0320

FPGA時鐘資源鎖相環的學習課件

FPGA時鐘資源主要有三大類 時鐘管理模、時鐘 IO 、時鐘布線資源。
2020-12-09 18:14:0013

FPGA硬件基礎之理解FPGA時鐘資源的工程文件免費下載

本文檔的主要內容詳細介紹的是FPGA硬件基礎之理解FPGA時鐘資源的工程文件免費下載。
2020-12-10 14:20:116

FPGA硬件基礎之FPGA時鐘資源的工程文件免費下載

本文檔的主要內容詳細介紹的是FPGA硬件基礎之FPGA時鐘資源的工程文件免費下載。
2020-12-10 15:00:2915

Xilinx FPGA時鐘資源的學習筆記

全局時鐘資源是一種專用互連網絡,它可以降低時鐘歪斜、占空比失真和功耗,提高抖動容限。Xilinx的全局時鐘資源設計了專用時鐘緩沖與驅動結構,從而使全局時鐘到達CLB、IOB和BRAM的延時最小。
2020-12-29 16:59:358

FPGA架構中的全局時鐘資源介紹

引言:本文我們介紹一下全局時鐘資源。全局時鐘是一個專用的互連網絡,專門設計用于到達FPGA中各種資源的所有時鐘輸入。這些網絡被設計成具有低偏移和低占空比失真、低功耗和改進的抖動容限。它們
2021-03-22 10:09:5811527

Xilinx 7系列中FPGA架構豐富的時鐘資源介紹

引言:7系列FPGA具有多個時鐘路由資源,以支持各種時鐘方案和要求,包括高扇出、短傳播延遲和極低的偏移。為了最好地利用時鐘路由資源,必須了解如何從PCB到FPGA獲取用戶時鐘,確定哪些時鐘路由資源
2021-03-22 10:16:184353

Xilinx 7系列FPGA時鐘和前幾代有什么差異?

引言:從本文開始,我們陸續介紹Xilinx 7系列FPGA時鐘資源架構,熟練掌握時鐘資源對于FPGA硬件設計工程師及軟件設計工程師都非常重要。本章概述7系列FPGA時鐘,比較了7系列FPGA時鐘
2021-03-22 10:25:274326

Logos系列FPGA時鐘資源(Clock)用戶指南

電子發燒友網站提供《Logos系列FPGA時鐘資源(Clock)用戶指南.pdf》資料免費下載
2022-09-26 10:15:211

FPGA原型驗證系統的時鐘資源設計

如果SoC設計規模小,在單個FPGA內可以容納,那么只要系統中的FPGA具有所SoC所設計需要時鐘的數量
2023-04-07 09:42:57594

淺析FPGA原型驗證系統的時鐘資源

如果SoC設計規模小,在單個FPGA內可以容納,那么只要系統中的FPGA具有所SoC所設計需要時鐘的數量
2023-05-23 15:46:24481

FPGA設計中動態時鐘的使用方法

時鐘是每個 FPGA 設計的核心。如果我們正確地設計時鐘架構、沒有 CDC 問題并正確進行約束設計,就可以減少與工具斗爭的時間。
2023-07-12 11:17:42794

已全部加載完成

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>