<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>

電子發燒友App

硬聲App

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

電子發燒友網>可編程邏輯>FPGA設計之Verilog中clk為什么要用posedge而不用negedge?

FPGA設計之Verilog中clk為什么要用posedge而不用negedge?

收藏

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴

評論

查看更多

相關推薦

FPGA設計技巧分享

一般情況下,系統中統一用posedge避免用negedge,降低設計的復雜度,可減少出錯。
2022-07-27 09:33:06857

FPGA+verilog 編程之----led閃爍

[25:0]ledcnt1;always@(posedge CLK or negedge RSTn)beginif(!RSTn)begin ledcnt1
2012-05-25 09:36:31

FPGA+verilog 編程之----邊沿監測

fedge11,fedge12,fedge13,fedge14; reg redge1,redge2; always@(posedge CLK or negedge RSTn)beginif(!RSTn)begin{fedge11,fedge12,fedge13,fedge14}
2012-05-26 10:14:47

FPGA Verilog HDL 設計實例系列連載--------樂曲演奏

即可。實驗實現:  詳細實現步驟請參考FPGA Verilog HDL 設計實例系列連載--------8-3編碼器(1)在設計文件輸入Verilog代碼
2012-03-12 14:16:20

FPGA Verilog HDL 設計實例系列連載------七段數碼管掃描顯示

閃爍現象。掃描頻率太大,則會造成LED的頻繁開啟和關斷,大大增加LED功耗(開啟和關斷的時刻功耗很大)。一般來說,稍描頻率選在50Hz比較合適。實驗實現:  實現步驟請參照 FPGA Verilog
2012-03-14 11:16:34

FPGA Verilog HDL 設計實例系列連載------交通燈的控制

; wire rst ; input clk ; wire clk ; output clkout ; reg clkout ; reg[31:0] count1; always @ ( posedge
2012-03-14 13:58:10

FPGA verilog

;assign CLK_1hz=Q;reg Q1;assign CLK_500hz=Q1;always @(posedge CLK_50M)begin if(counter==25000000)begin counter
2018-05-13 11:11:52

FPGA verilog 調用的task 的問題

'b001,start=3'b010,ram =3'b011,fsh =3'b100,work =3'b101; always@(negedge rst or posedge clk)begin if(!rst) begincount
2016-01-10 16:29:46

FPGA 學習筆記02(UART RS232,verilog)——2014_6_19

描述狀態轉移 always @ (negedge Reset or posedge clk) 。。。。。//組合邏輯描述狀態的轉移條件 always @ (cState or XmitCmdp
2014-06-19 16:57:16

FPGA的計數器有多少怎么查看

買了個開發板,芯片是EP4CE6E22C8有個程序是module ex1(clk,rst_n,led);input clk,rst_n;output led;reg[23:0] cntalways@(posedge clk or negedge rst_n)if(!rst_n)cnt
2017-05-05 16:54:52

FPGA奇偶分頻

clk_out_div6;//6分頻時鐘輸出;reg [1:0] cnt;//計數寄存器regclk_out_div6;//時鐘輸出寄存器 always@(posedge clk or negedge rest_n)beginif(!rest_n) //復位信號 begincnt
2016-03-30 11:35:51

FPGA代碼的信號命名和定義

:123456789101112131415161718192021222324252627282930313233343536373839404142434445464748always @(posedge clk or negedge rst_n)beginif(!rst_n)begin cnt
2019-05-07 06:35:36

FPGA信號命名和定義的明確

]always @(posedge clk or negedge rst_n)beginif(!rst_n)begin cnt
2018-09-04 09:16:00

FPGA雙沿發送Verilog HDL實現 精選資料推薦

1.1 FPGA雙沿發送Verilog HDL實現1.1.1 本節目錄1)本節目錄;2)本節引言;3)FPGA簡介;4)FPGA雙沿發送Verilog HDL實現;5)結束語。1.1.2 本節
2021-07-26 06:20:59

FPGA雙沿采樣Verilog HDL實現 精選資料分享

1.1 FPGA雙沿采樣Verilog HDL實現1.1.1 本節目錄1)本節目錄;2)本節引言;3)FPGA簡介;4)FPGA雙沿采樣Verilog HDL實現;5)結束語。1.1.2 本節
2021-07-26 07:44:03

FPGA如何檢測時鐘信號的上升沿?

我們總是在verilog代碼中使用'always @(posedge clk)',如果clk信號不是一個好的方波(或者它可能是高度失真的,有時像正弦波),那么FPGA仍然可以檢測到clk? FPGA
2019-05-23 09:32:31

FPGA實戰演練邏輯篇42:寄存器電路的設計方式

基本的代碼書寫方式如下:// Verilog例程module dff(clk, din, dout);input clk;input din;output dout;reg dout; always @ (posedge clk) begindout
2015-06-26 11:53:15

FPGA整潔代碼之道3-信號命名和定義應該明確

]123456789101112131415161718192021222324252627282930313233343536373839404142434445464748[td=513]always @(posedge clk or negedge rst_n)begin if(!rst_n)begincnt
2019-07-29 08:56:42

FPGA流水燈實驗

FPGA初級實驗 verilog let's start (流水燈實驗)module countpro(sys_clk,rst_n,led); //接口定義input sys_clk,rst_n
2012-02-08 16:28:30

FPGA的復位設計分析(Verilog HDL與RTL圖)

rst_n, input data_in, outputout );reg out; always @ (posedge clk or negedge rst_n)if(!rst_n) out
2020-01-08 06:00:00

FPGA的視頻處理主體程序怎么實現

FPGA 整體控制程序的流程如圖 7-14 所示。整體控制過程中有關狀態機的代碼如下:[code]//狀態機always @(posedge clk or negedge BRD_RST_)//缺省狀態if (!BRD_RST_)beginpresState
2018-12-10 10:08:39

VERILOG 下文

5.2 時序邏輯實現的加法器我們分析下面這段代碼[table][tr]12345678[td=466]always@(posedge clk or negedge rst_n)beginif(rst_n==1'b0)beginq
2019-08-12 10:25:49

Verilog 時鐘分頻

;output clk_out;reg clk_out;reg [2:0]k;always@(posedge clk_in or posedge rst)beginif(rst) begink
2016-06-17 17:14:04

Verilog新手求助

]key_in,output zhi ); regzhi_r; always@(posedge sys_clk or negedge rst_n)beginif(~rst_n)zhi_r
2021-10-30 23:30:06

Verilog程序如下,怎么修改可以增大運行的頻率?求大神解答

end_cnt,end_cnt_c;wire dout_12h;always@(posedge clk or negedge rst)beginif(rst==0)begin cnt
2018-10-25 10:42:12

Verilog編譯

Press(input clk,input RST,input KEY,input EN,output REF);always @ (posedge clk or negedge RST)beginif (!RST)REF
2012-11-05 21:38:47

verilog 求助!

本人初學verilog, 用ISE綜合遇到點問題,特來尋求幫助!找了半天也沒發現錯誤!module saler(clk,reset,half_yuan,one_yuan,out);input clk
2013-08-01 21:08:32

verilog 阻塞賦值問題

[table=98%][tr][td]module osc(clk);output clk;reg clk;initial #10 clk = 0;always @(posedge clk,negedge clk) begin #10 clk
2014-09-14 20:25:12

verilog 非阻塞賦值的綜合

剛學verilog,試了一下非阻塞賦值,代碼如下, 很簡單module test(clk,in,out);input clk;input in;output reg out;always @(posedge clk)out
2012-10-30 09:20:14

verilog的阻塞和非阻塞

這個是非阻塞賦值,b的值為0,仿真結果也是0module TOP(input clk,input rst,output reg b );reg a,c;always@(posedge clk or negedge rst)beginif(~rst)begina
2015-09-13 15:55:05

verilog代碼怎么實現分頻可調

posedge clk_50m, negedge sel1 or sel0 三種邊沿信號后報錯:Error: Can't elaborate top-level user hierarchy,只寫
2019-03-04 02:23:16

verilog快速掌握程序設計及程序下載

和assign語句,這些就是FPGA的程序。為了方便同學們學習,明德揚要求同學們只用以下兩種結構,即always@(*)和always@(posedge clkor negedge rst_n )兩種
2015-05-22 16:59:19

verilog描述異步置0,異步置1功能的D觸發器

D_FF(q,qn,d,clk,reset,set);input d,clk,set,reset;output q,qn;reg q,qn;always @(posedge clk or negedge
2014-04-04 20:55:20

verilog流水燈,錯在哪里,求解、、、

;reg [24:0] count0;always @(posedge CLK or negedge RST)begincount0=0;if(!RST)count0
2014-01-14 16:16:05

D觸發器Verilog描述

module D_EF(Q,D,CLK)input D,CLK;output Q;reg Q;//在always語句中被賦值的信號要聲明為reg類型 寄存器定義always @ (posedge CLK) //上升沿,下降沿用negedge表示,^_^ 需要記憶 begin Q
2012-02-22 13:54:40

ISE12.1 那個verilog text fixture 怎么寫?

這些過去,報錯 always @ ( posedge clk or negedge rst) beginif(!rst)led
2014-03-30 10:11:37

ModelSim SE 十分鐘入門

;output clk_o;reg clk_o;integer count;always @ (negedge reset or posedge clk_i)begin if(!reset) count
2012-08-12 15:07:13

SPI slave無法理解語法

@(posedge clk)SCKr但是我似乎無法理解上述代碼這一行的作用SCKr花括號的語法有什么作用?以上來自于谷歌翻譯以下為原文Found a SPI slave verilog module
2019-06-14 10:30:31

Xilinx FPGA入門連載8:Verilog源碼文件創建與編輯

reg clk_12m5//二分頻時鐘信號); always @(posedge ext_clk_25m or negedge ext_rst_n) if(!ext_rst_n) clk
2015-09-21 11:18:07

FPGA每周一練】第四周:用Verilog HDL設計

);/***************************************/ always@(posedge clk or negedge rstn)beginif(!rstn) dout[7:0]
2014-12-11 13:42:37

FPGA每周一練匯總帖】FPGA的HDL建模持續更新

);endmodule第二周:1、設計一個10進制計數器;module count(clk,rstn,en,dout);input clk,rstn,en;outputreg[4:0]dout;always@(posedge clk or negedge rstn)if (!rstn) dout
2014-12-11 13:57:00

【鋯石A4 FPGA試用體驗】四:UART接收器模塊設計

的寫法一般是:always@(posedge clk or negedge rst)beginif(~rst) q
2017-09-25 23:42:15

【鋯石A4 FPGA試用體驗】IP核RAM(一)創建與配置

@ (posedge CLK_50M or negedge RST_N)beginif(!RST_N)time_cnt
2016-10-01 11:07:42

【鋯石A4 FPGA試用體驗】IP核ROM(三)ModelSim仿真

-->EDA Tool Settings設置仿真軟件為ModelSim-Altera ,語言為Verilog HDL。在Processing --> Start -->Start
2016-09-25 09:58:23

【雨的FPGA筆記】基礎實踐-------IP核RAM的使用

;= 32) &amp;&amp; (clk_cnt &lt; 64)) ? 1 : 0;//計數0~63always @(posedge sys_clk or negedge
2020-01-23 15:28:06

不能計數能復位,復位后計數值直接就變成20h不計數

module Verilog1(clk,rst,en,dout);input clk,en,rst;output [31:0] dout;reg [31:0] Q1;assign dout=Q1;always @(posedge clk or negedge rst)begin if(!rst)Q1
2015-09-05 18:26:54

為什么這個程序仿真clk_in會出現這樣的波形以及如何解決?

Name: verilog_fm4//REVERSION: V1.0//Description:分頻計數實驗----半整數分頻實驗//Editor FPGA
2015-07-20 15:37:41

使用SystemVerilog來簡化FPGA接口的連接方式

FPGA接口的連接方式?! ??也許很多FPGA工程師對SystemVerilog并不是很了解,因為以前的FPGA開發工具是不支持SystemVerilog的,導致大家都是用VHDL或者Verilog
2021-01-08 17:23:22

使用V4 FPGA從CMOS接收LVDS數據

HDL寫“always @(posedge dck或negedge dck)”是錯誤的。但是,我必須在“dck”的兩個邊緣進行采樣。我不能寫兩個總是塊,總是@(posedge dck)...總是@(negedge dck)...因為它會很麻煩。 請問怎么辦?此致敬禮?。ㄐ偶Y束語,Jacie Wu
2020-04-20 10:21:22

關于FPGA的一個分頻小程序

always @(posedge clk_in or negedge rst)if(!rst)begin clk_out = 0;CNT = 0;end else begin if(CNT==(DIV
2019-12-12 14:35:25

關于Verilogalways塊敏感信號的疑問與討論。

; end然后如果我要在敏感信號添加 上升沿條件1 觸發,編譯器就會報錯?always@(posedge Sysclk or negedge Rst_n or posedge 條件1
2017-09-18 17:24:19

關于verilog 電平變化問題

input a;reg a_reg;wire rst_n;assign rst_n = 1'b1;always @ (posedge clk100 or negedge rst_n) if (!rst_n) a_reg
2020-07-10 18:42:13

關于CPLD中用Verilog編程循環移位問題

clk,rst;output[11:0] dataout;reg[11:0] dataout;reg[22:0] cnt;always@(posedge clk or negedge rst)beginif(!rst) begin cnt
2015-05-21 22:26:54

典型電路的Verilog代碼介紹

測試文件的模板代碼2 典型電路的Verilog代碼2.1 自加一電路add_1(如:n++)3 典型例題與答案3.1 例題13.2 例題21 模板代碼1.1 輸出時序邏輯的模板代碼1.1.1 異步復位的時序電路(用的最多)always@(posedge clk or negedge rst_n)begi
2022-02-17 07:11:08

凔海筆記FPGA(四):Verilog HDL語法簡單述

:always@(敏感事件列表) 用于描述時序邏輯敏感事件上升沿 posedge,下降沿 negedge,或電平敏感事件列表可以包含多個敏感事件,但不可以同時包括電平敏感事件和邊沿敏感事件,也不可以同時包括
2016-03-16 10:45:02

初學者求助 Verilog 的 if 語句

信號input rst_n; //復位output fm;//輸出 低亮高不亮reg[31:0] cnt;always @(posedge clk or negedge rst_n)beginif(rst_n==0||cnt==0)cnt
2019-04-01 06:35:34

勇敢的芯伴你玩轉Altera FPGA連載36:Verilog代碼風格寄存器電路的設計方式

`勇敢的芯伴你玩轉Altera FPGA連載36:Verilog代碼風格寄存器電路的設計方式特權同學,版權所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s
2018-01-02 19:38:56

勇敢的芯伴你玩轉Altera FPGA連載42:基于仿真的第一個工程實例Verilog源碼文件編輯

`勇敢的芯伴你玩轉Altera FPGA連載42:基于仿真的第一個工程實例Verilog源碼文件編輯特權同學,版權所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s
2018-01-21 21:40:51

單數分頻器Verilog

;inputreset;output reg divclk;reg[1:0] cnt1;always@(posedge clk or negedge reset)begin if(!reset) cnt1
2012-03-07 09:56:55

可以在相同的總塊中使用時鐘的posedgenegedge

夏威夷群島在使用PSoC的Verilog程序,我想為POSEED輸出一個輸出值(1’B1),并為同一個時鐘的NEGEDGE輸出另一個輸出(1’B0),并且這兩個輸出應該連續地達到相同的輸出(比如
2018-10-30 10:27:33

基于Verilog FPGA 流水燈設計_流水燈源碼_明德揚資料

LED流水廣告燈工程說明在本案例,使用常用的verilog語言完成該程序,設計并控制8個燈的花式或循環點亮;即上電后,實現左移和右移交替的流水燈。案例補充說明在FPGA電路設計,盡管流水
2017-08-02 17:56:09

如何使用FPGA實現PID ?

使用單片機非常常用。但是在FPGA,由于浮點運算問題。使用PID就優點麻煩。下面是我設計的一個PID的Verilog程序。模擬16bitDAC和ADC的PID閉環。在運算時通過將數據左右。提高了
2020-12-14 15:08:28

如何在posedge CLK處啟用RESET的測試平臺

你好,我正在為我的一個模塊創建一個簡單的測試平臺。我正在嘗試設計一個執行以下測試工作臺:1)我想最初“重置為高”,{CLK高或低在這種情況下無關緊要。},2)“當CLK為高電平時,RESET為低電平
2019-07-22 12:43:38

如何在這里使用for循環不是隨機和重復

\ n”,din [15:0]); // @(negedge clk); // enable = 0; //結束//重復(100)@(posedge clk); // $ fclose(in_file
2020-03-23 07:54:12

如何測試寫過的verilog功能?

_dai(clk_in,rst,clk_out);input clk_in;input rst;output clk_out;reg clk_out;always @(posedge clk_in or negedge rst)beginif(!rst) clk_out
2016-02-24 16:02:36

學習FPGA需要注意的100個關鍵點

-----對組合邏輯中使用的所有輸入敏感例子:always@(a or b or sel)時序電路-----僅對時鐘和控制信號敏感例子:always @(posedge clk or negedge
2018-08-27 09:24:48

對特權FPGA控制ADC TLC549邏輯代碼疑問

*********************************/reg[5:0] cntus; //2usalways@(posedge clk or negedge rst_n) if(!rst_n)cntus
2016-12-26 15:19:50

新手學習verilog的兩個問題

大家好,剛剛學習verilog有兩個問題想請教以下1. 為什么在verilog的進位是從9進位,但是實際是10有效呢?比如一個秒表的進位程序如下always_ff @(posedge clk)beginif (reset)begin count1
2016-11-11 20:47:31

新手請教一個verilog代碼的問題,就一個命令。

小弟最近剛學verilog,我看網上的教程,基本的模子就是 always@(posedge CLK or negedge RESET)我試著寫了如下代碼:(目的是每按一次按鍵,8個led就翻轉一次
2016-07-31 11:04:46

求助大佬解惑這兩段verilog代碼區別,感覺功能一樣,但是實際一個有輸出一個沒輸出,萬分感謝您的解答!

` 本帖最后由 hanareuok 于 2021-7-26 16:25 編輯 代碼1:TM無遞增,一直保持0always @(posedge CLK_10M or negedge RST
2021-07-26 12:12:55

求助:用FPGAverilog語言實現BPSK調制!

最近在做個課題,需要用FPGAverilog語言實現BPSK調制,fpga不是很會,望大神指導下,急求代碼??!謝謝
2013-03-06 18:12:36

特權同學SDRAMdatagene模塊,640ns是怎么產生的,clk明明是25M

reg[13:0] delay;//500us延時計數器always @(posedge clk or negedge rst_n)if(!rst_n) delay
2017-11-13 21:39:58

獨立按鍵消抖FPGA模塊

the keyalways @ (posedge clk or negedge rst)if (!rst) key_r &lt;= 2'b11;else key_r &lt;= {key2,key1
2012-01-12 14:34:44

累加器verilog語言編程問題

] accum_i;reg[5:0] accum_k;reg [15:0] accumulation;always @ (posedge clk or negedge rst_n)beginif (!rst_n)begin accum_k
2015-05-23 20:09:34

計數器,計數到一個值就不計數了!這么回事

module Verilog1(clk,rst,en,dout);input clk,en,rst;output [31:0] dout;reg [31:0] Q1;assign dout=Q1;always @(posedge clk or negedge rst)begin if(!rst)Q1
2015-09-05 20:09:15

請教各位大佬 最近在做一個基于FPGA的PPM調制系統 根據網上的代碼編寫了一段代碼

;b101;always @(posedge clk_out or negedge rst_n)beginif(!rst_n) pre_state &amp;lt;= s0;else
2019-12-12 16:27:06

請問FPGA如何用verilog文件調用VHDL的程序并用modelsim仿真?

));......reg [25:0] counter;regled_level;always @(posedge fpga_clk_50 or negedge hps_fpga_reset_n)beginif(~hps_fpga_reset_n)begin counter
2019-04-29 11:31:11

請問Verilog怎么實現二維數組輸出?

always@(negedge fsmc_nwe or posedge clk)beginif(fsmc_nwe==0)beginif(fsmc_ne==0)beginword_data[A]
2019-05-23 22:23:47

請問我這段代碼的確切問題是什么?

大家好,我有一個帶有阻塞賦值的verilog源代碼的問題。下面是我們設備應用的源代碼,它引起了一個問題,但是我們的測試系統沒有復制故障。但我擔心有邊緣觸發器的阻塞分配。據我所知,下面的代碼不是一般
2019-04-03 15:12:31

(轉)出題率最高的30道FPGA面試題及其答案(下)

;邏輯,要實現它,在硬件特性上有什么具體要求?線與邏輯是兩個輸出信號相連可以實現與的功能。在硬件上,要用 oc 門來實現,由于不用oc 門可能使灌電流過大,燒壞邏輯門. 同時在輸出端口應加一個上拉電阻
2019-08-16 08:30:00

Verilog hdl教程實例

Verilog hdl教程實例 【例 3.2】4 位計數器module count4(out,reset,clk);output[3:0] out;input reset,clk;reg
2010-02-09 09:41:0154

FPGA Verilog中計數器的2中寫法對比

功能是,計數記到24,清零,重新計數….第一種寫法:module ? count_debug ? ? ? ?( ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? clk
2018-09-26 12:51:025444

FPGA應用中EDA工具常見的報錯分析與處理

單邊沿的驅動又有雙邊沿的驅動,如always@(posedge Clk50Mhz or negedge RstN or StartFlag),即只能同意用一種,不能混合。
2018-11-18 09:15:333767

基于FPGA的EDA工具的常見報錯分析與解決方法

單邊沿的驅動又有雙邊沿的驅動,如always@(posedge Clk50Mhz or negedge RstN or StartFlag),即只能同意用一種,不能混合。
2019-05-06 14:44:553957

Verilog如何實現一階sigma_delta DAC

rst_n;input [3:0] PWM_in;output PWM_out; reg [4:0] PWM_accumulator;always @(posedge clk or negedge
2021-07-23 10:33:432360

Verilog中四個基礎的時序分析

下列 時序檢查語句 錯誤的是() A. $setup(posedge clk, data, tSU) B. $hold(posedge clk, data, tHLD) C. $setuphold
2021-08-25 11:52:543732

FPGA CPLD中的Verilog設計小技巧

FPGA CPLD中的Verilog設計小技巧(肇慶理士電源技術有限)-FPGA CPLD中的Verilog設計小技巧? ? ? ? ? ? ? ? ?
2021-09-18 16:49:1835

SOC課程——③——Verilog程序(典型電路的模板)

測試文件的模板代碼2 典型電路的Verilog代碼2.1 自加一電路add_1(如:n++)3 典型例題與答案3.1 例題13.2 例題21 模板代碼1.1 輸出時序邏輯的模板代碼1.1.1 異步復位的時序電路(用的最多) always@(posedge clk or negedge rst_n)begi
2021-12-22 18:57:034

Verilogclk為什么要用posedge,而不用negedge

在ModelSim仿真中,時鐘是很嚴格的,但是在真實的晶振所產生的clock卻是不嚴格的,比如高電平和低電平的時間跨度不一樣,甚至非周期性的微小波動。如果只使用posedge,則整個系統的節拍都按照clock上升沿對齊
2022-08-31 15:51:382549

SystemVerilog中的電平敏感事件控制

verilog中絕大多數使用的都是邊沿敏感事件,例如@(posedge event)和@(negedge event)。
2022-12-12 09:58:471096

FPGA學習-異步復位,同步釋放

: ?always?@(posedge?clk?or?negedge?rst_n?) ? ? ? ? ? ? ? if(!rst_n) ? (優點:占用較少邏輯單元 缺點:可能會產生競爭冒險) 同步
2023-09-09 14:15:01282

已全部加載完成

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>