<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

FPGA技術江湖

文章:174 被閱讀:36.9w 粉絲數:40 關注數:0 點贊數:12

廣告

設計一個計數器來講解時序邏輯

時序邏輯是Verilog HDL 設計中另一類重要應用。從電路特征上看來,其特點為任意時刻的輸出不僅....
的頭像 FPGA技術江湖 發表于 08-14 09:28 ?797次閱讀
設計一個計數器來講解時序邏輯

xilinx ZYNQ7000系列基本開發流程之PS端

ZYNQ 芯片分為 PL 和 PS, PS 端的 IO 分配相對是固定的,不能任意分配,雖然 PS ....
的頭像 FPGA技術江湖 發表于 08-11 09:36 ?5948次閱讀
xilinx ZYNQ7000系列基本開發流程之PS端

如何設計一顆AI芯片?AI芯片設計入門方案

機器學習(ML)已經在在線活動中變得無處不在。近年來,這些模型的規模和復雜性大幅增長,這有助于提高預....
的頭像 FPGA技術江湖 發表于 08-10 09:19 ?2181次閱讀
如何設計一顆AI芯片?AI芯片設計入門方案

基于FPGA Vivado的數字鐘設計(附源工程)

工程建立完畢,我們需要將lab2這個工程所需的IP目錄文件夾復制到本工程文件夾下。本工程需要兩個IP....
的頭像 FPGA技術江湖 發表于 07-29 10:06 ?2670次閱讀
基于FPGA Vivado的數字鐘設計(附源工程)

Vivado系列之TCL549驅動設計

? 系統性的掌握技術開發以及相關要求,對個人就業以及職業發展都有著潛在的幫助,希望對大家有所幫助。本....
的頭像 FPGA技術江湖 發表于 07-27 09:25 ?784次閱讀
Vivado系列之TCL549驅動設計

10行代碼輕松在ZYNQ MP上實現圖像識別的步驟

Xilinx Vitis-AI 是用于 Xilinx 硬件平臺上的 AI 推理的開發堆棧。它由優化的....
的頭像 FPGA技術江湖 發表于 07-04 09:20 ?870次閱讀
10行代碼輕松在ZYNQ MP上實現圖像識別的步驟

英特爾Quartus Prime軟件v23.1上線

此外,Nios V/g 內核還有著更大的軟件生態系統,包括 FreeRTOS 和 Zephyr RT....
的頭像 FPGA技術江湖 發表于 07-03 11:01 ?507次閱讀

看門狗在Zynq MPSoC上的使用技巧

在Zynq MPSoC的器件里,PS (Processing System )集成了三個看門狗,分別....
的頭像 FPGA技術江湖 發表于 06-30 09:47 ?1004次閱讀
看門狗在Zynq MPSoC上的使用技巧

使用CCIX進行高速緩存一致性主機到FPGA接口的評估

Chiplet技術和NoC技術目前已經成為解決摩爾定律無法延續的一種重要方法,現在的CPU芯片對外的....
的頭像 FPGA技術江湖 發表于 06-29 09:56 ?621次閱讀
使用CCIX進行高速緩存一致性主機到FPGA接口的評估

如何使用Python腳本調試賽靈思PCIe設計?

現在,您不僅可以使用 Python 腳本執行調試分析,更重要的是,借由 Vivado ILA 所生成....
的頭像 FPGA技術江湖 發表于 06-26 09:20 ?791次閱讀
如何使用Python腳本調試賽靈思PCIe設計?

使用Vitis AI在Zynq MP上實現手勢識別

FPGA得益于其高可編程性以及低延遲,低功耗的特點,在機器學習的推理領域已獲得了廣泛的關注。在過去,....
的頭像 FPGA技術江湖 發表于 06-25 10:52 ?689次閱讀
使用Vitis AI在Zynq MP上實現手勢識別

如何使Linux網絡協議棧中RFS功能優化 MPSoC APU 的并行處理能力

? 本文介紹如何使能 Linux 網絡協議棧中的 RFS(receive flow steering....
的頭像 FPGA技術江湖 發表于 06-17 08:59 ?621次閱讀
如何使Linux網絡協議棧中RFS功能優化 MPSoC APU 的并行處理能力

將RTL模塊添加到Block Design的步驟

使用Vivado Block Design設計解決了項目繼承性問題,但是還有個問題,不知道大家有沒有....
的頭像 FPGA技術江湖 發表于 06-11 16:27 ?2584次閱讀
將RTL模塊添加到Block Design的步驟

FPGA零基礎學習之Vivado-FIFO使用教程

FIFO的英文全稱叫做First in First out,即先進先出。這也就決定了這個IP核的特殊....
的頭像 FPGA技術江湖 發表于 06-09 09:38 ?2674次閱讀
FPGA零基礎學習之Vivado-FIFO使用教程

FPGA零基礎學習之Vivado-ROM使用教程

系統性的掌握技術開發以及相關要求,對個人就業以及職業發展都有著潛在的幫助,希望對大家有所幫助。本次帶....
的頭像 FPGA技術江湖 發表于 06-07 12:27 ?859次閱讀
FPGA零基礎學習之Vivado-ROM使用教程

MIMO的信道容量該怎么計算呢?

MIMO在4G、5G和WIFI中被廣泛使用,我們經常會碰到2T2R、4T4R、4T2R等說法。
的頭像 FPGA技術江湖 發表于 06-06 09:41 ?1269次閱讀
MIMO的信道容量該怎么計算呢?

FPGA零基礎學習之Vivado-鎖相環使用教程

PLL鎖相環由以下幾部分組成:前置分頻計數器、相位頻率檢測器電路、電荷泵、環路濾波器、壓控振蕩器、反....
的頭像 FPGA技術江湖 發表于 05-31 17:42 ?1616次閱讀
FPGA零基礎學習之Vivado-鎖相環使用教程

基于FPGA的數字視頻信號處理器設計

今天給大俠帶來基于FPGA的數字視頻信號處理器設計,由于篇幅較長,分三篇。 今天帶來第一篇,上篇,視....
的頭像 FPGA技術江湖 發表于 05-19 10:56 ?1109次閱讀
基于FPGA的數字視頻信號處理器設計

基于FPGA的CAN總線控制器的設計

今天給大俠帶來基于FPGA的CAN總線控制器的設計,由于篇幅較長,分三篇。今天帶來第一篇,上篇,CA....
的頭像 FPGA技術江湖 發表于 05-18 09:21 ?981次閱讀
基于FPGA的CAN總線控制器的設計

代碼是如何控制硬件的?

先說代碼: 我們是用電腦的鍵盤來輸入的指令,每一個指令都對應一個ASCII碼,而這里的ASCII碼就....
的頭像 FPGA技術江湖 發表于 05-12 14:19 ?1231次閱讀
代碼是如何控制硬件的?

在Vivado中實現ECO功能

關于 Tcl 在 Vivado中的應用文章從 Tcl 的基本語法和在 Vivado 中的 應用展開,....
的頭像 FPGA技術江湖 發表于 05-05 15:34 ?1889次閱讀
在Vivado中實現ECO功能

用TCL定制Vivado設計實現流程

今天推出Xilinx已發布的《Vivado使用誤區與進階》系列:用TCL定制Vivado設計實現流程....
的頭像 FPGA技術江湖 發表于 05-05 09:44 ?799次閱讀
用TCL定制Vivado設計實現流程

FPGA零基礎學習之Vivado-按鍵使用教程

系統性的掌握技術開發以及相關要求,對個人就業以及職業發展都有著潛在的幫助,希望對大家有所幫助。本次帶....
的頭像 FPGA技術江湖 發表于 04-28 14:01 ?1051次閱讀
FPGA零基礎學習之Vivado-按鍵使用教程

5G通信算法:LDPC譯碼算法詳解

LDPC碼在IEEE802.16e、IEEE802.11n、IEEE802.11ac、IEEE802....
的頭像 FPGA技術江湖 發表于 04-27 09:09 ?10118次閱讀
5G通信算法:LDPC譯碼算法詳解

下一個8位MCU就是32位MCU

據介紹,全新推出的STM32MP13,內置Cortex-A7,最高主頻可以達到1GHz,DRAM支持....
的頭像 FPGA技術江湖 發表于 04-26 09:07 ?773次閱讀
下一個8位MCU就是32位MCU

8位MCU歷久不衰的秘訣何在?

近日,意法半導體(ST)正式推出32位STM32C0 MCU,并明確指出將用于“取代”8位MCU。
的頭像 FPGA技術江湖 發表于 04-17 09:34 ?891次閱讀

為什么幾個短短的頻譜就可以描述一個信號?

對于一個離開課堂十余年的射頻工程師來說,傅里葉變換已經不知道埋藏在腦子里的那個角落,或者根本就沒在腦....
的頭像 FPGA技術江湖 發表于 04-13 09:14 ?477次閱讀

國產化浪潮中的國產FPGA

就國內市場來說,近幾年FPGA市場也在持續擴大增長,2021年國內FPGA芯片市場為176.8億元,....
的頭像 FPGA技術江湖 發表于 04-12 09:20 ?5879次閱讀

FPGA零基礎學習之Vivado-數碼管驅動設計實驗

系統性的掌握技術開發以及相關要求,對個人就業以及職業發展都有著潛在的幫助,希望對大家有所幫助。本次帶....
的頭像 FPGA技術江湖 發表于 04-09 09:20 ?1466次閱讀

FPGA之HDMI與以太網篇分享

高清多媒體接口(High Definition Multimedia Interface)是一種全數....
的頭像 FPGA技術江湖 發表于 04-06 09:22 ?1491次閱讀
亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>