<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

簡單快捷地用小型Xiliinx FPGA加速卷積神經網絡CNN

Hx ? 作者:工程師陳翠 ? 2018-06-29 07:55 ? 次閱讀

剛好在知乎上看到這個問題如何用FPGA加速卷積神經網絡CNN,恰巧我的碩士畢業設計做的就是在FPGA上實現CNN的架構,在此和大家分享。

先說一下背景,這個項目的目標硬件是Xilinx的PYNQ。該開發板加載了Linux Ubuntu操作系統,可以在CPU上運行現有的Python CNN架構如Caffe和Theano。本設計旨在用PYNQ加載的ZYNQ FPGA對于CNN核心計算進行硬件加速,以達到對于大規模信息流進行大吞吐量CNN處理。

簡單快捷地用小型Xiliinx FPGA加速卷積神經網絡CNN

該設計結構可以分為CPU端(前端)和FPGA端(后端)兩部分。前端使用的是Python,用來與其他軟件項目進行交互。前端將數據以數據流的形式由DDR傳輸到FPGA kernel。后端的FPGA硬件設計使用的是Synchronous Dataflow Paradigm。在這個結構之下,數據流以AXI-Stream的協議穿梭于每一個CNN層。每一個層都使用并行結構加上高性能流水線,使整體吞吐量效率達到一個非??捎^的水平。

簡單快捷地用小型Xiliinx FPGA加速卷積神經網絡CNN

上圖為實現LeNet-5的硬件結構示意圖。對于LeNet-5,該設計達到了1.88GOP/S的吞吐量,與PYNQ上的DUAL CORE ARM CPU相比加速約32倍。目前該架構可運行LeNet和CIFAR10,有教程。

這個項目結構比較簡單,適合FPGA初學者作為研究起點使用。感興趣的話,可以以這個架構為基礎設計幾個CNN的應用,或者在它的基礎上進行優化和再創造。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1606

    文章

    21344

    瀏覽量

    594187
  • 神經網絡
    +關注

    關注

    42

    文章

    4587

    瀏覽量

    99128
收藏 人收藏

    評論

    相關推薦

    TF之CNN:Tensorflow構建卷積神經網絡CNN的嘻嘻哈哈事之詳細攻略

    TF之CNN:Tensorflow構建卷積神經網絡CNN的嘻嘻哈哈事之詳細攻略
    發表于 12-19 17:03

    【PYNQ-Z2申請】基于PYNQ的卷積神經網絡加速

    項目名稱:基于PYNQ的卷積神經網絡加速試用計劃:申請理由:本人研究生在讀,想要利用PYNQ深入探索卷積神經網絡的硬件
    發表于 12-19 11:37

    基于賽靈思FPGA卷積神經網絡實現設計

    FPGA 上實現卷積神經網絡 (CNN)。CNN 是一類深度神經網絡,在處理大規模圖像識別任務
    發表于 06-19 07:24

    利用Keras實現四種卷積神經網絡(CNN)可視化

    Keras實現卷積神經網絡(CNN)可視化
    發表于 07-12 11:01

    卷積神經網絡如何使用

    卷積神經網絡(CNN)究竟是什么,鑒于神經網絡在工程上經歷了曲折的歷史,您為什么還會在意它呢? 對于這些非常中肯的問題,我們似乎可以給出相對簡明的答案。
    發表于 07-17 07:21

    卷積神經網絡原理及發展過程

    Top100論文導讀:深入理解卷積神經網絡CNN(Part Ⅰ)
    發表于 09-06 17:25

    卷積神經網絡CNN介紹

    【深度學習】卷積神經網絡CNN
    發表于 06-14 18:55

    卷積神經網絡CNN)是如何定義的?

    什么是卷積神經網絡?ImageNet-2010網絡結構是如何構成的?有哪些基本參數?
    發表于 06-17 11:48

    卷積神經網絡模型發展及應用

    舉足輕重的地位。由于卷積 神經網絡的模型十分豐富,有些模型的結構或用途 比較特殊,在本文中統稱為特殊模型,包括具有簡單的結構和很少參數量的擠壓網絡模型 SqueezeNet,采
    發表于 08-02 10:39

    卷積神經網絡簡介:什么是機器學習?

    抽象人工智能 (AI) 的世界正在迅速發展,人工智能越來越多地支持以前無法實現或非常難以實現的應用程序。本系列文章解釋了卷積神經網絡CNN) 及其在 AI 系統中機器學習中的重要性。CNN
    發表于 02-23 20:11

    卷積神經網絡CNN圖解

    之前在網上搜索了好多好多關于CNN的文章,由于網絡上的文章很多斷章取義或者描述不清晰,看了很多youtobe上面的教學視頻還是沒有弄懂,最后經過痛苦漫長的煎熬之后對于神經網絡卷積有了
    發表于 11-16 13:18 ?5.7w次閱讀
    <b class='flag-5'>卷積</b><b class='flag-5'>神經網絡</b><b class='flag-5'>CNN</b>圖解

    卷積神經網絡CNN架構分析-LeNet

    對于神經網絡卷積有了粗淺的了解,關于CNN 卷積神經網絡,需要總結深入的知識有很多:人工神經網絡
    發表于 11-16 13:28 ?2614次閱讀
    <b class='flag-5'>卷積</b><b class='flag-5'>神經網絡</b><b class='flag-5'>CNN</b>架構分析-LeNet

    卷積神經網絡概述 卷積神經網絡的特點 cnn卷積神經網絡的優點

    卷積神經網絡概述 卷積神經網絡的特點 cnn卷積神經網絡
    的頭像 發表于 08-21 16:41 ?2058次閱讀

    cnn卷積神經網絡原理 cnn卷積神經網絡的特點是什么

    cnn卷積神經網絡原理 cnn卷積神經網絡的特點是什么?
    的頭像 發表于 08-21 17:15 ?1218次閱讀

    cnn卷積神經網絡簡介 cnn卷積神經網絡代碼

    cnn卷積神經網絡簡介 cnn卷積神經網絡代碼 卷積
    的頭像 發表于 08-21 17:16 ?2145次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>