<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

如何滿足高性能時鐘IC需求

h1654155966.4254 ? 來源:未知 ? 作者:佚名 ? 2017-08-30 11:04 ? 次閱讀
時鐘設備設計使用 I2C編程小數鎖相環 (PLL),可滿足高性能時序需求,這樣可以產生零 PPM(百萬分之一)合成誤差的頻率。高性能時鐘 IC 具有多個時鐘輸出,用于驅動打印機、掃描儀和路由器等應用系統的子系統,例如處理器、FPGA、數據轉換器等。此類復雜系統需要動態更新參考時鐘的頻率,以實現 PCIe 和以太網等其它諸多協議。

時鐘 IC 屬于 I2C 從器件,需要主控制器來配置內部 PLL 邏輯,其控制邏輯可以寫入微控制器內。作為 I2C 主機,微控制器將配置寫入時鐘 IC 的內部易失性存儲器并控制 PLL。因此,可以通過板上 MCU - IC 組合進行系統時鐘頻率的動態更新??删幊涛⒖刂破鳛楦咝阅軙r鐘 IC 提供控制邏輯能力,通過減少板載 IC和板上走線使整體設計更加緊湊,并降低最終物料成本。

操作理論


圖 1 為高性能時鐘設備的基本 PLL 架構。該設計使用比例因子為 PLL 輸出端口提供時鐘合成。最終輸出頻率的基本公式為:

  • fREF 為輸入參考晶體頻率(在多數應用中通常為 8 MHz 至 48 MHz)。

  • DIV_R(DIV_R1 和 DIV_R2)是輸入頻率參考的分頻因子。此類分頻器名為預分頻器。

  • DIV_N 為小數 N 分頻因子。

  • DIV_O(DIV-O1、DIV-O2、DIV-O3 和 DIV-O4)為輸出前的后分頻因子。

圖 1:簡化高性能時鐘的 PLL 架構框圖

圖1中的橙色框圖為參數,使用這些參數的方程式為可編程方程式。這些參數可以在出廠時寫入時鐘設備的非易失性存儲器。時鐘設備具有內部易失性和非易失性存儲器,兩個存儲器互相復制其內容。非易失性存儲器在出廠時已被寫入所需配置,在最終應用中,當設備啟動電源時,非易失性存儲器的內容會被復制到易失性存儲器。同時,PLL 產生所需的默認時鐘輸出。

時鐘 IC 的重要特性之一就是通過 I2C 接口實現運行時可編程。通過可編程功能,用戶可以更改設備的易失性存儲器內容以進行即時更改。只需使用適當的 I2C 指令,就可以通過主控制器實現用戶配置文件的即時編程功能。

設備的非易失性存儲器還可以存儲預定義的多用戶配置。用戶可以使用頻率選擇 (Frequency Select, FS) 功能以選擇其中一個配置。該 FS - 位為設備中可用的 CMOS 輸入引腳。FS 引腳應用 N - 位外部 CMOS 信號,然后內部選擇存儲在非易失性存儲器中的一個配置文件,這個配置文件同樣也被復制到了易失性存儲器,PLL 則輸出不同的信號。

同時,微控制器通過 I2C 提供數據來控制高頻時鐘。使用微控制器的優點是,它具有不同的通信外設和通信協議,如 I2C、SPI、UART、藍牙、ZigBee 等,使得系統能夠以主從配置將數據傳輸到其他微控制器,也可以使用一個自定義的應用傳輸至安卓和 iOS 設備。此外,微控制器還配有各種 IDE 工具用于簡化設計。這可以更好地證明使用 I2C 指令來配置 PLL 參數、編寫并驗證定制應用程序是合適的。

高性能時鐘的應用需求


高性能時鐘 IC 專為消費者、工業網絡應用而設計。此類時鐘 IC 具有多個從不同 PLL 導出的差分輸出和單端輸出,并且可以通過 I2C 接口實現可編程功能。此外,高性能時鐘 IC不僅可以支持 PCI Express (PCIe) 1.0 / 2.0 / 3.0、USB 2.0 / 3.0 和萬兆以太網 (GbE)等關鍵接口標準的參考時鐘。還能支持壓控晶體振蕩器 (VCXO) 和頻率選擇 (FS)等其他增值功能。

高性能時鐘 IC 采用設計實現 I2C 從機模式。因此,需要一個板載 I2C 主機來控制以下可編程功能:

  • 通過 I2C 接口進行系統內編程

  • 通過頻率選擇 (FS) 引腳更新配置

  • 外部復位操作

  • 壓控晶體振蕩器 (VCXO) 操作

圖 2:微控制器 - 高性能時鐘接口電路

微控制器在時鐘 IC PLL 控制中的作用


如圖 2 所示,將時鐘 IC 連接到微控制器電路。時鐘 IC 具有內部 PLL 模塊,其功能是提供作為固定直流電壓的調諧電壓 (Vtune) ,而調諧電壓將隨頻段而變化。PLL 模塊在輸入端接收本地振蕩器頻率,由內部前置放大器放大信號。另外,預分頻器對輸入頻率進行下變頻,并將其作為輸入傳送至相位比較器。

圖 3:PLL 模塊的微控制器控制

微控制器通過 I2C 發送數據到可編程分頻器。該分頻器也接收來自參考振蕩器(例如 4 MHz 晶體振蕩器)的輸入。相位比較器(即相位檢測器)通過預分頻器接收本地振蕩器頻率(例如 87.15 MHz),還通過參考分頻器和參考振蕩器接收微控制器的輸入(例如,87.15 MHz)。如果兩個輸入都匹配,相位比較器將提供 Vtune 調諧電壓。一旦本地振蕩器頻率與微控制器頻率數據之間稍有不匹配,都將無法提供調諧電壓 (Vtune) 和輸出。圖 3 所示為完整的框圖。

在微控制器的幫助下,PLL 通過調諧本地振蕩器頻率產生閉環,并在輸出端產生調諧電壓。調諧電壓將從較低頻率信道增加到較高頻率信道。通過改變預分頻器和可編程分頻器的值,微控制器可以調整步長。

步長 =(本地振蕩器頻率/預分頻器)X(可編程分頻器/參考振蕩器)

表 1 所示為部分配置

通過 I2C 接口進行系統內編程


系統內編程可為系統設計實現快速有效的迭代。編程數據序列可通過 SCL 和 SDA 引腳傳送到時鐘器件,把操作順序編程至板載微控制器(主設備)中,通過命令和數據在運行時與從機時鐘進行交互。

此處為系統示例,其中時鐘信號必須以采樣率的倍數為準。該時鐘頻率在 155.52 MHz 和 156.25 MHz 兩組頻率之間變動。這意味著驅動串行控制器的時鐘必須能夠在這兩個值之間靈活切換。微控制器主設備可以訪問并修改寫入易失性存儲器的 PLL 配置,從而滿足這兩個頻率需求。

通過頻率選擇 (FS) 引腳更新配置


高性能時鐘設備支持包含個性化配置的多個用戶配置文件。在 FS 引腳轉換方面,高性能時鐘器件具有兩個時序規格 - 快速切換和慢速切換。

快速切換適用于輸出 ON/OFF 、輸出分頻值變化,以及輸出 MUX 設置更改。慢速切換則適用于更改 PLL 參數(包括 PLL ON/OFF)。顧名思義,快速切換中的輸出變化更快,而慢速切換的速度較慢。兩種切換類型都可以打開或關閉輸出,并且不出絲毫差錯。圖 4 所示為 FS 與輸出時鐘之間的時序關系。

圖 4:頻率選擇操作

外部復位操作


當外部復位生效時,時鐘 IC 進入低功耗模式。輸出和 I2C 總線信號處于高阻抗 (HI-Z) 狀態,直到取消外部復位并完成初始化。外部復位重啟易失性存儲器內容,存儲在非易失性存儲器中的配置則被復制到易失性存儲器。當需要重新初始化任意一個系統中運行的應用程序時,該功能將被使用。

壓控晶體振蕩器 (VCXO) 操作


對某些應用而言,輸出時鐘頻率應通過使用模擬反饋跟蹤輸入數據流。如圖 5 所示,時鐘 IC 作為大鎖相環的一部分。ASIC 或 SoC 負責跟蹤輸入流、計算誤差并產生 PWM 信號(通常來說),隨后將誤差信息反饋至本地時鐘發生器以進行頻率調諧。

圖 5:VCXO 示例電路

VCXO 功能能夠修改 PLL 頻率,因此頻率牽引不依賴于晶體特性、溫度、電壓或設備工藝。VCXO 調制是線性、精準調制。也可以使用時鐘參考。通過微控制器的內置模擬模塊,VCXO 的控制邏輯精準到小數點后 6 位。


作為 I2C 主設備,微控制器將配置寫入時鐘 IC 的內部易失性存儲器并控制 PLL。因此,通過板載 MCU-IC 組合可以實現系統時鐘頻率的動態更新。開發人員可以使用可編程微控制器,為高性能時鐘 IC 提供控制邏輯。這可以減少對板載 IC 和走線數量的需求,使得整個系統設計更加緊湊。

微控制器配備強大 IDE 工具,可以加速應用開發。集成可編程片上系統(PSoC)器件可進一步簡化設計并有助于降低整體產品成本。


聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21367

    瀏覽量

    594696
  • 鎖相環
    +關注

    關注

    35

    文章

    554

    瀏覽量

    87313

原文標題:如何滿足復雜系統的高性能時序需求

文章出處:【微信號:CypressChina,微信公眾號:Cypress教程】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    設計高性能時鐘的幾點技巧

    樹設計時, “ 一成不變 ” 的策略并不適用,優化時鐘樹以滿足性能和成本的要求取決于多種因素,包括系統架構、集成電路( IC )時序需求(頻
    的頭像 發表于 10-31 09:45 ?5612次閱讀
    設計<b class='flag-5'>高性能</b><b class='flag-5'>時鐘</b>的幾點技巧

    時鐘設備如何滿足復雜系統的高性能時序需求?

    時鐘設備設計使用 I2C 可編程小數鎖相環 (PLL),可滿足高性能時序需求,這樣可以產生零 PPM(百萬分之一)合成誤差的頻率。高性能
    發表于 08-27 09:46

    時鐘設備設計使用I2C可編程小數鎖相環可以滿足復雜系統的高性能時序需求

    時鐘設備設計使用I2C可編程小數鎖相環(PLL),可滿足高性能時序需求,這樣可以產生零PPM(百萬分之一)合成誤差的頻率。高性能
    發表于 07-08 06:18

    時鐘IC怎么滿足高性能時序需求?

    時鐘設備設計使用 I2C 可編程小數鎖相環 (PLL),可滿足高性能時序需求,這樣可以產生零 PPM(百萬分之一)合成誤差的頻率。高性能
    發表于 08-12 06:50

    請問如何設計存儲器接口才能獲得高性能?

    如何滿足各種讀取數據捕捉需求以實現高速接口?如何讓接收到的時鐘與數據中心對準?為了縮短設計周期應遵循哪些規則?如何設計存儲器接口才能獲得更高性能?
    發表于 04-14 06:30

    滿足高性能數字接收機動態性能要求的ADC和射頻器件有哪些?

    滿足高性能數字接收機動態性能要求的ADC和射頻器件有哪些?
    發表于 05-28 06:45

    高性能CPU時鐘網絡設計

    討論了物理設計中時鐘網絡的設計技術,并以現有的CPU時鐘網絡的為例,介紹了高性能CPU的時鐘網絡設計技術。
    發表于 12-27 15:28 ?46次下載
    <b class='flag-5'>高性能</b>CPU<b class='flag-5'>時鐘</b>網絡設計

    如何滿足復雜系統的高性能時序需求

    時鐘設備設計使用 I2C 可編程小數鎖相環 (PLL),可滿足高性能時序需求,這樣可以產生零 PPM(百萬分之一)合成誤差的頻率。高性能
    發表于 08-23 17:39 ?1102次閱讀
    如何<b class='flag-5'>滿足</b>復雜系統的<b class='flag-5'>高性能</b>時序<b class='flag-5'>需求</b>

    如何滿足復雜系統的高性能時序需求?

    時鐘設備設計使用 I2C 可編程小數鎖相環 (PLL),可滿足高性能時序需求,這樣可以產生零 PPM(百萬分之一)合成誤差的頻率。
    發表于 08-24 15:44 ?903次閱讀
    如何<b class='flag-5'>滿足</b>復雜系統的<b class='flag-5'>高性能</b>時序<b class='flag-5'>需求</b>?

    高性能CPU的時鐘網絡設計

    高性能CPU的時鐘網絡設計
    發表于 10-30 15:28 ?23次下載
    <b class='flag-5'>高性能</b>CPU的<b class='flag-5'>時鐘</b>網絡設計

    ADI的時鐘IC是提高系統性能的關鍵

    美國馬薩諸塞州諾伍德市 - 全球領先的信號處理應用高性能半導體公司ADI公司今天推出了一系列時鐘IC,可滿足當今高性能電子應用中最嚴格的信號
    的頭像 發表于 09-15 16:52 ?3414次閱讀
    ADI的<b class='flag-5'>時鐘</b><b class='flag-5'>IC</b>是提高系統<b class='flag-5'>性能</b>的關鍵

    時鐘設備如何才能實現復雜系統的高性能時序需求

    時鐘設備設計使用I2C可編程小數鎖相環(PLL),可滿足高性能時序需求,這樣可以產生零PPM(百萬分之一)合成誤差的頻率。高性能
    發表于 11-03 10:40 ?0次下載
    <b class='flag-5'>時鐘</b>設備如何才能實現復雜系統的<b class='flag-5'>高性能</b>時序<b class='flag-5'>需求</b>

    高性能時鐘有哪些特點 Xilinx 7系列時鐘管理技術解析

      業界高端FPGA的卓越性能和高口碑聲譽都有哪些因素了?其中很重要的一個因素就是FPGA內部豐富的時鐘資源使得FPGA在處理復雜時鐘結構和時序要求的設計中具有很大優勢。設計師可以更好地控制和管理
    發表于 08-31 10:44 ?623次閱讀

    T51鉭電容器以更高性能滿足電動汽車多物聯網系統的需求

    Vishay威世 T51鉭電容器以更高性能滿足電動汽車多物聯網系統的需求
    的頭像 發表于 11-02 09:54 ?264次閱讀

    FRAM SF25C20晶圓合封MCU,滿足小尺寸和高性能需求

    FRAM SF25C20晶圓合封MCU,滿足小尺寸和高性能需求
    的頭像 發表于 04-22 09:49 ?147次閱讀
    FRAM SF25C20晶圓合封MCU,<b class='flag-5'>滿足</b>小尺寸和<b class='flag-5'>高性能需求</b>
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>