<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Vcs/Xrun環境中VCD/FSDB/SHM/VPD的Dump方法詳解

sanyue7758 ? 來源:處芯積律 ? 2024-03-21 10:13 ? 次閱讀

仿真IC設計不可或缺的重要步聚,其中仿真波形是debug的必要條件。今天簡要介紹下一下幾種波形文件VCD/FSDB /SHM/VPD的dump方法。其中VCD通用性最好,VCS/XRUN環境中直接加函數dump;對于FSDB,本文講述了VCS/XRUN 2種環境中基于函數和Tcl的2種dump方法;對于SHM, 本文簡要介紹了XRUN中基于函數和Tcl的2種dump方法;對于VPD,本文簡要介紹了VCS中函數dump方法;

一、VCD (Valve Change Dump)VCD是一個通用的格式。VCD文件是1EEE1364標準(Verilog HDL語言標準)中定義的一種ASCI文件。它主要包含了頭信息,變量的預定義和變量值的變化信息。因為它包含了信號的變化信息,就相當于記錄了整個仿真的信息,我們可以用這個文件來再現仿真,也就能夠顯示波形用于DEBUG。因為VCD是 Verilog HDL語言標準的一部分,因此所有的veriog的仿真器都要能夠實現這個功能,也要允許用戶在verilog代碼中通過系統函數來dump VCD文件。我們可以通過Verilog HDL的系統函數dumpfile來 生成波形,通過dumpvars的參數來規定我們抽取仿真中某些特定模塊和信號的數據。

d1a93bc8-dd5d-11ee-a297-92fbcf53809c.png

特別說明的一點是,目前主流功耗分析工具都支持通過VCD文件來評估功耗。Encounter 和 PrimeTime Px (Prime Power)都可以通過輸入網表文件,帶功耗信息的庫文件以及仿真后產生的VCD文件來實現功耗分析。VCD本質是文本文件,文件占用較大內存,極大拖慢仿真速度,但是由于對功耗分析支持比較友好,因此各種EDA仿真均有dump VCD的需求。

二、FSDB (Fast Signal DataBase)FSDB 是Spring Soft (Novas) 公司 Debussy / Verdi 支持的波形文件,一般文件占用內存較小,使用較為廣泛,其余仿真工具如ncsim,modlesim等等可以通過加載Verdi 的PLI 來dump fsdb文件。fsdb文件是verdi使用一種專用的數據格式,類似于VCD,但是它是只提取仿真過程中信號的有用信息,除去了VCD中信息元余,就像對VCD數據進行了一次huttman編碼。因此fsdb數據量小,可以極大地提高仿真速度。本質上VCD文件使用verilog內置的系統函數來實現dump 的,fsdb是通過verilog的PLI接口來實現的。

環境中可以通過以下函數實現FSDB波形的dump。Step1: 設置環境變量:d1b968f4-dd5d-11ee-a297-92fbcf53809c.pngStep2: Bash 中加入以下選項:VCS:

d1c9fc78-dd5d-11ee-a297-92fbcf53809c.png

XRUN:加入編譯選項:-loadpli1 debpli:novas_pli_bootbench中添加以下函數:

d1df37a0-dd5d-11ee-a297-92fbcf53809c.png

當然也可以使用Tcl 實現波形的精準dump控制。1Vcs 中tcl 控制方法:仿真bash中加入仿真選項:-ucli -i 。。/sim/dump_fsdb_vcs.tcl其中Tcl demo文件:

d1f50486-dd5d-11ee-a297-92fbcf53809c.png

2Xrun中tcl控制方法:仿真bash中加入tcl載入選項:-input 。。/sim/dump_fsdb_irun.tclTcl demo文件:

d2027972-dd5d-11ee-a297-92fbcf53809c.png

其余波形文件就是各家不同的仿真或調試工具支持的文件類型,互不通用。但基本都可以由VCD文件轉換而來(其實就是VCD文件的壓縮版,因為只取仿真調試需要的數據,所以文件大小要遠小于原始VCD文件)。

三、SHMSHM 是Cadence公司 NC verilog 和Simvision 支持的波形文件,實際上 shm是一個目錄,其中包含了 dsn和 tn兩個文件。用simvision xxx.shm來打開波形。

1.通過函數實現dump

d217aaf4-dd5d-11ee-a297-92fbcf53809c.png

2.通過tcl的方式實現dump仿真bash中加入tcl載入選項:-input 。。/sim/dump_shm_irun.tclTcl demo文件:

d2219a82-dd5d-11ee-a297-92fbcf53809c.png

四、VPDVPD是Synopsys公司 VCS DVE支持的波形文件,現在逐漸被fsdb取而代之。用dve –vpd test.vpd打開對應波形。生成VPD方法如下:仿真bash中加入編譯選項: -debug_pp +vcd+vcdplusonBench中添加dump函數:

d22d4724-dd5d-11ee-a297-92fbcf53809c.png

以上介紹的基本方法,僅供參考,不同版本可能略有不同,具體的設置細節,需要查詢對應的help文檔。官方help文檔提供了更為靈活而有效率的使用方法。

審核編輯:黃飛

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • IC設計
    +關注

    關注

    37

    文章

    1276

    瀏覽量

    103190
  • VCD
    VCD
    +關注

    關注

    0

    文章

    37

    瀏覽量

    34466
  • eda
    eda
    +關注

    關注

    71

    文章

    2569

    瀏覽量

    171235
  • 函數
    +關注

    關注

    3

    文章

    4117

    瀏覽量

    61467

原文標題:VCD/FSDB/SHM/VPD在Vcs/Xrun 環境中的dump方法

文章出處:【微信號:處芯積律,微信公眾號:處芯積律】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    vcsxrun搭配uvm1.1/uvm1.2版本庫的使用情況

    我們在項目中有時候需要使用不同的UVM版本庫進行仿真,有時候還會在不同的仿真器之間進行切換,本文簡單總結了一下vcsxrun搭配uvm1.1/uvm1.2版本庫的使用情況,希望能幫助到一些朋友。
    的頭像 發表于 10-27 09:41 ?1998次閱讀

    VCD內部解剖詳述

    VCD(ValueChange Dump)** 是用ASCII記錄了被選擇信號在仿真過程中的變化情況,同時還記錄了一些測試向量生成時的仿真信息
    的頭像 發表于 11-07 17:43 ?877次閱讀
    <b class='flag-5'>VCD</b>內部解剖詳述

    Debussy學習筆記(一)

    下的share/pli 目錄下) 而直接dump fsdb文件。fsdb文件是verdi使用一種專用的數據格式,類似于VCD,但是它是只提出了仿真過程中信號的有用信息,除去了
    發表于 06-18 15:15

    請教VCS和verdi怎么聯合使用

    最近要用到VCS仿真后生成FSDB文件,然后在Verdi中進行自動偵錯,請問我怎么安裝Verdi這個軟件以及如何啟動license,并且怎么寫testbench文件才可以產生FSDB文件。請懂得人給我支支招,我也是剛開始學習這個
    發表于 01-22 14:53

    linux下的EDA——VCS使用

    ("counter.vcd");//待輸出的波形文件名,可更改 $dumpvars(0,u0);//u0為testbench實例化的頂層文件名稱,注意根據實際情況修改該名
    發表于 07-09 08:10

    VCS破解遇到的問題是什么?有什么解決的方法?

    VCS破解過程遇到的問題是什么?有什么解決的方法?
    發表于 06-21 08:10

    利用fsdbedit工具修改fsdb波形文件模塊的層次路徑信息

    的層次路徑是不一樣的。比如對于模塊D:在仿真環境A,所在的層次路徑為 tb_top1.xxx.a在仿真環境B,所在的層次路徑為 tb_top2.yyy.a此時在A仿真
    發表于 04-02 17:30

    PTPX的常用流程有哪些? 為何從這個入手

    (Value Change Dump)是一個通用的格式。 VCD文件是IEEE1364標準(Verilog HDL語言標準)定義的一種ASCII文件。它主要包含了頭信息,變量的預定義和變量值的變化信息。正是
    發表于 06-10 17:40

    基于linux系統的VCS使用及仿真說明

    代碼如下:頂層模塊代碼:波特率產生模塊接收模塊代碼:發送數據模塊一、VCS仿真下面開始進行VCS仿真。VCS要使用圖形化界面,必須得先編譯得到vpd文件,才能用圖形化界面看波形。首先是
    發表于 07-18 16:18

    請問dump.vcd波形為什莫有一部分沒有生成顯示出來?

    我做.sv矩陣乘法的時候,生成dump.vcd觀察波形,輸入是a,b輸出是c,dump部分代碼寫的都一樣,但是不知道為什么vcd波形里只有a和b沒有c
    發表于 08-04 16:11

    vcs和verdi的調試及聯合仿真案例

    若想用Verdi觀察波形,需要在仿真時生成fsdb文件,而fsdbvcs或者modelsim中的生成是通過兩個系統調用$fsdbDumpfile $fsdbDumpvars來實現的。
    的頭像 發表于 09-22 15:01 ?7987次閱讀

    VCD/FSDB/SHM/VPD波形dump方法

    仿真是IC設計不可或缺的重要步聚,其中仿真波形是debug的必要條件。今天簡要介紹下一下幾種波形文件VCD/FSDB /SHM/VPDdump
    的頭像 發表于 11-11 09:36 ?1.4w次閱讀

    VCS/XRUN如何創建一個非UVM的簡單仿真環境?

    設計碼完代碼后,有時候想簡單調試一下基本的通路,此時還沒有驗證資源進來,可以仿照modesim仿真的方法,創建一個.v/.sv的頂層,里面例化DUT,里面加預期激勵;
    的頭像 發表于 05-12 12:37 ?2573次閱讀
    <b class='flag-5'>VCS</b>/<b class='flag-5'>XRUN</b>如何創建一個非UVM的簡單仿真<b class='flag-5'>環境</b>?

    vcs工作環境

    vcs工作環境
    發表于 05-15 09:38 ?0次下載

    Verdi環境配置、生成波形的方法

    Verdi是一個功能強大的debug工具,可以配合不同的仿真軟件進行debug,很多企業常用的就是VCS+Verdi或或者Xcelium(xrun)+Verdi的方式進行代碼的仿真與檢查
    的頭像 發表于 05-29 09:48 ?2514次閱讀
    Verdi<b class='flag-5'>環境</b>配置、生成波形的<b class='flag-5'>方法</b>
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>