<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

如何使用FPGA驅動并行ADC和并行DAC芯片?

FPGA設計論壇 ? 來源:CSDN ? 2024-02-22 16:15 ? 次閱讀

概述

ADCDACFPGA與外部信號接口,從數據接口類型的角度劃分,有低速的串行接口和高速的并行接口。FPGA經常用來采集中高頻信號,因此使用并行ADC和DAC居多。本文將介紹如何使用FPGA驅動并行ADC和并行DAC芯片。

并行接口包括兩種數字編碼方式:帶符號數signed與無符號數unsigned。本文還將介紹使用不同編碼方式的ADC與DAC時需要注意的問題。

接口協議

ADI公司的32M、8位ADC芯片AD9280和125M、8位DAC芯片AD9708為例(這是淘寶上最容易買到的AD/DA模塊)。

AD9280的時序圖如下:

69c5ef48-d159-11ee-a297-92fbcf53809c.jpg

AD9708的時序圖如下:

69d7f49a-d159-11ee-a297-92fbcf53809c.jpg

由時序圖可知,AD9280在每個輸入clock的上升沿對輸入的模擬信號做一次采集,采集數據由數據總線data輸出;AD9708也是在每個輸入clock的上升沿讀取數據總線DB0-DB7上的數據,將其轉換為相應的電流IOUTA/IOUTB輸出。

這兩個芯片的管腳雖然很多,但大多數都是與硬件設計有關。其實幾乎所有的并行ADC和并行DAC與FPGA之間的接口只有一條時鐘線與一組數據總線,數據總線的位寬即為ADC/DAC的位數。每個時鐘周期ADC都會完成一次采集(DAC完成一次輸出),因此時鐘頻率也就是ADC和DAC的采樣頻率。

FPGA設計

并行ADC和DAC的接口時序驅動非常簡單,只要利用Quartus或Vivado自帶的時鐘管理IP核生成預期采樣頻率的時鐘信號,驅動時鐘線,從數據總線上讀出或寫入數據即可。

比如下面的代碼實現了將ADC采集到的數據再通過DAC輸出:

`timescale 1ns / 1ps

//-----------------------------------------------

// 將ADC采集到的數據通過DAC輸出

//-----------------------------------------------

module adda_test

(

input clk,

output daclk,

output [7:0] dadata, //DA data

output adclk,

input [7:0] addata //AD data

);

PLL PLL_inst

(

.clk_in1(clk), // IN

// Clock out ports

.clk_out1(adclk), // OUT 32Mhz

.clk_out2(daclk), // OUT 32Mhz

// Status and control signals

.reset(1'b0), // IN

.locked()

);

assign dadata = addata;

endmodule

上述代碼中實例化了一個PLL IP核產生ADC和DAC所需頻率的時鐘,Quartus中該IP核叫做“PLL”,Vivado中該IP核叫做“Clocking Wizard”。

為了保證DAC輸出與ADC采集到的信號相同,將兩者時鐘頻率設置相同,且連接二者的數據總線。上述代碼可以使用開發板和AD/DA模塊進行實際測試。

編碼方式問題

上文用到的AD9280和AD9708都是無符號數編碼,而我們知道無論是Vivado還是Quartus中大多數的IP核采用的都是帶符號數二進制補碼的編碼方式(這就導致ADC/DAC的數據總線不能與IP核接口直接對接,必須做一定的轉換處理。

考慮到上述數字系統的特點,市場上也存在不少以帶符號數二進制補碼接口的ADC/DAC,比如65M、12位ADC芯片AD9226。如果使用這種編碼方式的芯片,數據總線就可以直接與IP核接口對接,不需要做特殊處理。

但是,我們總會不可避免的遇到類似這樣的情況:

1. ADC或DAC是無符號數編碼,而設計中需要使用一些帶符號數接口的IP核;

2. ADC是帶符號數編碼,而設計中僅需獲取測量值,并不需要與其它帶符號數接口的模塊對接。

當遇到情況1時,需要進行無符號數編碼與帶符號數編碼之間的轉換。將ADC采集到的8位無符號數轉換為帶符號數補碼形式的代碼如下:

/**** 將addata轉化為帶符號二進制補碼形式 ****/

reg [7:0] ad_data;

always @ (posedge clk or negedge rst_n)

if (!rst_n) ad_data <= 8'd0;

else ad_data <= addata - 128;? ? //AD9280采集輸入

將帶符號數補碼轉換為8位無符號數通過DAC輸出的代碼如下:

/**** 將dadata轉化為無符號數形式 ****/

reg [7:0] da_data;

always @ (posedge clk or negedge rst_n)

if (!rst_n) da_data <= 8'd0;

else da_data <= dadata + 128;? ? //AD9708輸出

當遇到情況2時,需要將不易直接觀察的帶符號數補碼形式轉換為帶符號數原碼形式,使其更加直觀。代碼如下:

always @(posedge ad_clk) //AD9226采集

if(ad_ch1[11]==1'b1) begin //如果是負電壓

ch1_reg<=12'hfff - ad_ch1 + 1'b1;

ch1_sig <= 45;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? //'-' asic碼

end

else begin

ch1_reg<=ad_ch1;

ch1_sig<=43;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? //'-' asic碼

end

轉換的依據是一個簡單的運算關系:“補碼的整數值”+“原碼絕對值的整數值”=2^B,B為位寬。比如帶符號數原碼1110的補碼為1010:1110取絕對值0110為6;1010為10,二者加起來為2^4=16。

上述代碼便是利用了這個運算關系。為了節省位寬,先用12’hfff減掉補碼,再加1,達到同樣的效果,得到帶符號數原碼的絕對值。根據符號位便可以知道這個原碼的正負情況。

原文鏈接:https://blog.csdn.net/FPGADesigner/article/details/80672231





審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21358

    瀏覽量

    594369
  • adc
    adc
    +關注

    關注

    95

    文章

    5670

    瀏覽量

    540113
  • 二進制
    +關注

    關注

    2

    文章

    717

    瀏覽量

    41310
  • dac
    dac
    +關注

    關注

    43

    文章

    1976

    瀏覽量

    189758
  • CLK
    CLK
    +關注

    關注

    0

    文章

    123

    瀏覽量

    16935
  • DAC芯片
    +關注

    關注

    1

    文章

    30

    瀏覽量

    14368
  • ADC芯片
    +關注

    關注

    3

    文章

    71

    瀏覽量

    20097

原文標題:FPGA學習-并行ADC與DAC

文章出處:【微信號:gh_9d70b445f494,微信公眾號:FPGA設計論壇】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    基于FPGA的ARM并行總線設計與仿真分析

    由于FPGA技術和ARM技術應用越來越廣泛,通過設計并行總線接口來實現兩者之間的數據交換,可以較容易地解決快速傳輸數據的需求,因此設計滿足系統要求的FPGA并行總線顯得尤為重要。本文設
    發表于 08-15 10:44 ?7323次閱讀
    基于<b class='flag-5'>FPGA</b>的ARM<b class='flag-5'>并行</b>總線設計與仿真分析

    請問如何使用fx3芯片來對FPGA進行并行配置?

    我閱讀過AN84868,了解到fx3可以對連接的FPGA芯片進行串行配置;但是我的項目中,希望能盡量縮短FPGA配置的時間,因此希望能夠了解是否有辦法使用fx3芯片對外接的
    發表于 05-28 08:30

    fpga基礎篇(二):三大并行結構

    `fpga基礎篇(二):三大并行結構最近小編比較忙,所以這期給大家介紹一個基礎篇,比較簡單,但卻是FPGA編程的基礎。我們知道FPGA與單片機最大的區別就是
    發表于 04-13 10:23

    請問是否有并行ADC并行DAC接口卡讓我能夠使用FX2 FMC連接器連接到FPGA板?

    嗨,您能指定哪種ADC / DAC適合Spartan 3AN入門套件嗎?是否有任何生產(由任何公司)并行ADC并行
    發表于 06-20 14:07

    FPGA驅動并行ADC&DAC

    驅動并行ADC并行DAC芯片。并行接口包括兩種數字
    發表于 09-27 09:40

    【每日推薦】十七種電路設計原理圖解析,這里全告訴你了

    和高速的并行接口。FPGA經常用來采集中高頻信號,因此使用并行ADCDAC居多。本文將介紹如何使用FP
    發表于 09-27 13:56

    在pcb設計中FPGA與高速并行DAC的布線應該注意什么?

    在pcb設計中FPGA與高速并行DAC的布線應該注意什么?
    發表于 04-11 17:30

    基于FPGA的ARM并行總線研究與仿真

    基于FPGA的ARM并行總線研究與仿真
    發表于 01-24 16:54 ?19次下載

    FPGA電源設計在并行工程中的應用

    本文介紹了FPGA電源設計并行工程的合理性,講解了并行工程(CE)技術及其作用,討論了FPGA電源系統設計的復雜性和不確定性。
    發表于 10-13 13:00 ?5次下載
    <b class='flag-5'>FPGA</b>電源設計在<b class='flag-5'>并行</b>工程中的應用

    基于FPGA和多DSP的多總線并行處理器設計

    基于FPGA和多DSP的多總線并行處理器設計
    發表于 10-19 13:40 ?4次下載
    基于<b class='flag-5'>FPGA</b>和多DSP的多總線<b class='flag-5'>并行</b>處理器設計

    AD9831:25 MHz并行加載DDS芯片10位DAC數據交換

    AD9831:25 MHz并行加載DDS芯片10位DAC數據交換
    發表于 05-10 12:19 ?5次下載
    AD9831:25 MHz<b class='flag-5'>并行</b>加載DDS<b class='flag-5'>芯片</b>10位<b class='flag-5'>DAC</b>數據交換

    基于數字后處理算法的并行交替采樣ADC系統

    ,為各ADC通道提供交替采樣時鐘:在FPGA芯片雙倍速I/0和內部集成鎖相環的支持下,使用單片FPGA芯片接收
    發表于 09-17 12:22 ?5次下載
    基于數字后處理算法的<b class='flag-5'>并行</b>交替采樣<b class='flag-5'>ADC</b>系統

    如何使用FPGA驅動并行ADC并行DAC芯片

    ADCDACFPGA與外部信號的接口,從數據接口類型的角度劃分,有低速的串行接口和高速的并行接口。FPGA經常用來采集中高頻信號,因此使
    的頭像 發表于 04-21 08:55 ?6250次閱讀

    基于FPGA的ARM并行總線設計原理

    電子發燒友網站提供《基于FPGA的ARM并行總線設計原理.pdf》資料免費下載
    發表于 10-10 09:31 ?0次下載
    基于<b class='flag-5'>FPGA</b>的ARM<b class='flag-5'>并行</b>總線設計原理

    并行接口的ADC、DAC的測試方法

    并行接口的ADC、DAC的測試方法 ADCDAC是兩種最常見的數據轉換器,用于模數(ADC)和
    的頭像 發表于 11-07 10:21 ?1191次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>