<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Vivado 2023.2版本的新增功能

FPGA開源工坊 ? 來源:FPGA開源工坊 ? 2024-01-02 09:39 ? 次閱讀

Vivado在前一段時間更新了2023.2版本,經過一段時間的使用這個版本還是很絲滑的,用起來挺舒服。

特別是增加了一個Lint檢查的按鈕,可以讓我們提前知道RTL設計有什么風險。做數字IC設計的時候寫完代碼之后可能不是直接就去仿真,而是先拿Spyglass做一次檢查,消除掉lint錯誤之后再拿去仿真,Vivado增加了這個功能,讓我們能夠更好的去掌控自己的代碼。

3d482ac4-a87f-11ee-8b88-92fbcf53809c.png

這個lint檢查有什么作用呢,先來看一小段代碼。

`timescale 1ns / 1ps
module top(
    input  [7:0] a  ,
    input        b  ,
    output [7:0] c  
    );


always @(*) begin
    if(b == 1'b1)begin
        c = a;
    end
end


endmodule

沒有幾行代碼,直接一看有兩處問題,第一是c在always begin end里面進行賦值的,應該用reg類型,第二會產生一個latch。

代碼比較少,可以一眼發現問題,如果代碼比較多呢,還能一眼發現問題嗎?之前版本沒有lint檢查的時候,我們會拿去直接綜合,也許會給我們報一個警告出來,但是又有多少次我們會去一個一個的看這個警告報的什么問題呢?

現在增加了Lint功能,直接點擊run一下看看。

3d614c48-a87f-11ee-8b88-92fbcf53809c.png

vivado直接報錯了,告訴我們c不是reg類型的。

把c改成reg類型的,代碼如下

`timescale 1ns / 1ps
module top(
    input      [7:0] a  ,
    input            b  ,
    output reg [7:0] c  
    );


always @(*) begin
    if(b == 1'b1)begin
        c = a;
    end
end


endmodule

先來綜合一下看看,下面是報告。

3d71ed64-a87f-11ee-8b88-92fbcf53809c.png

看起來一點問題都沒有,報了一大堆信息,也許你仔細看還能看到其中一條警告說c被綜合成一個latch了,要是信息更多的話還會看到他不。

而運行lint之后,會幫我們生成一個表,可以很清晰的看到這些信息:

3d8fbc22-a87f-11ee-8b88-92fbcf53809c.png

再來看另外一個典型的多驅動問題:

`timescale 1ns / 1ps
module top(
    input            clk,
    input      [7:0] a1 ,
    input      [7:0] a2 ,
    input            b  ,
    output reg [7:0] c  
    );


always @(posedge clk) begin
    if(b == 1'b1)begin
        c <= a1;
    end
end


always @(posedge clk) begin
    c <= a2;
end


endmodule

在綜合后會有一個關鍵警告產生,但也不會報錯,這個會在之后的布局布線的時候報錯。

3e01d65e-a87f-11ee-8b88-92fbcf53809c.png

同樣lint也會把這個問題給暴露出來:

3e1ab962-a87f-11ee-8b88-92fbcf53809c.png

還有一些小問題比如位寬不匹配的問題,lint也能幫我們查出來

`timescale 1ns / 1ps
module top(
    input            clk,
    input      [7:0] a  ,
    input            b  ,
    output reg [6:0] c  
    );


always @(posedge clk) begin
    if(b == 3'b101)begin
        c <= a;
    end
end


submodule submodule_inst(
    .a (kkk)
)


endmodule


module submodule (
    output [3:0] a
);
    assign a = 3'b111;
endmodule

不知道大家能一眼看出來這個代碼的問題不。

先看看綜合的結果:

3e31a064-a87f-11ee-8b88-92fbcf53809c.png

看著似乎沒啥問題。

3e4e22c0-a87f-11ee-8b88-92fbcf53809c.png

lint報了一堆位寬不匹配的問題。

先看看第一個kkk的位寬不匹配,可以看到在在submodule這個模塊里面輸出了一個4bit的變量,但是我們在例化這個模塊的時候kkk忘記定義了,這個時候kkk就被隱式推斷為了一個1bit的wire類型的變量,這樣就出現了潛在的bug。其他幾個位寬不匹配也是一樣的,當然不是所有的位寬不匹配都是bug,這個就需要我們明確知道哪些是我們故意這樣設計的。

通過觀察lint報告,可以讓我們更加了解自己的設計,讓問題發現在最開始的地方,而不是等到最后布局布線了甚至更晚,才發現這種低級的問題。

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • IC設計
    +關注

    關注

    37

    文章

    1274

    瀏覽量

    103125
  • 仿真
    +關注

    關注

    50

    文章

    3883

    瀏覽量

    132347
  • RTL
    RTL
    +關注

    關注

    1

    文章

    382

    瀏覽量

    59154
  • 代碼
    +關注

    關注

    30

    文章

    4567

    瀏覽量

    67041
  • Vivado
    +關注

    關注

    18

    文章

    791

    瀏覽量

    65310

原文標題:Vivado2023.2的一個小功能

文章出處:【微信號:FPGA開源工坊,微信公眾號:FPGA開源工坊】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    Vitis2023.2使用之—— classic Vitis IDE

    Vitis 已經更新到2023.2了,新版本相較于舊版本更新了嵌入式平臺,新版平臺增加了Versal? AI 引擎 DSP 設計的增強功能,全新的獨立 Vitis 嵌入式軟件,最新 V
    發表于 03-24 16:15

    Vitis2023.2使用之—— updata to Vitis Unified IDE

    上一章聊了一下vitis2023.2怎樣使用classic Vitis IDE,這章我們來說一說基于classic Vitis IDE的工程怎么樣更新到新版本的Vitis Unified IDE
    發表于 03-24 17:14

    通吃所有的版本,有史以來期限最長功能最多的_Vivado_的license文件

    的_Vivado_的license文件!# 2037年之前的任何Vivado版本(包括HLS、AccelDSP、System Generator、軟硬CPU、SOC、嵌入式Linux、重配置等等
    發表于 06-19 22:26

    Hanlp1.7版本新增功能一覽

    `Hanlp1.7版本在去年下半年的時候就隨大快的DKH1.6版本同時發布了,截至目前1.7大版本也更新到了1.7.1了。本篇分別就1.7.0和1.7.1中新增
    發表于 03-22 09:56

    怎么將vivado12.3更新到最新的15.4版本?

    你好我有完整版的vivado 2012.3版本,我使用的是DVDalong和ZC 706 Board。我想將軟件更新到最新版本。請讓我知道這是可能的,免費的。
    發表于 04-14 06:48

    DevEco Studio 3.1 Beta1版本發布——新增六大關鍵特性,開發更高效

    、開發、編譯、調試等功能。2023年2月16日發布的DevEco Studio 3.1 Beta1版本,在Canary1版本基礎上,新增以下關鍵特性:->
    發表于 02-24 11:22

    Xilinx發布Vivado 2013.3 新增全新設計方法及功能

    Xilinx發布Vivado Design Suite 2013.3版本,新增最新UltraFast設計方法及新一代即插即用IP和部分重配置功能,豐富設計流程,實現前所未有的IP易用性
    發表于 12-24 17:51 ?1230次閱讀

    賽靈思Vivado設計套件推出2013.1版本,提供IP 集成器和高層次綜合功能

    關鍵詞:Vivado , 設計套件 賽靈思公司(Xilinx)今天宣布, 其業界首款可編程SoC級增強型Vivado設計套件的最新版本在生產力方面進行了兩大改進。Vivado設計套件2
    發表于 09-25 09:18 ?305次閱讀

    Vivado Design Suite 2015.3新增量編譯功能介紹

    了解Vivado實現中2015.3中的新增量編譯功能,包括更好地處理物理優化和自動增量編譯流程。
    的頭像 發表于 11-20 06:56 ?2583次閱讀

    Vivado Design Suite 2018.1設計套件中的新增功能介紹

    本視頻重點介紹了Vivado設計套件2018.1版本中的新增功能,包括對操作系統以及器件的支持情況,還有高層次增強功能,以及各種
    的頭像 發表于 11-20 06:28 ?2334次閱讀
    <b class='flag-5'>Vivado</b> Design Suite 2018.1設計套件中的<b class='flag-5'>新增</b><b class='flag-5'>功能</b>介紹

    Vivado 2015.3中的新增量編譯功能介紹

    了解Vivado實現中2015.3中的新增量編譯功能,包括更好地處理物理優化和自動增量編譯流程。
    的頭像 發表于 11-29 06:32 ?3409次閱讀

    Vivado 2015.3的新增量編譯功能

    了解Vivado實現中2015.3中的新增量編譯功能,包括更好地處理物理優化和自動增量編譯流程。
    的頭像 發表于 11-30 19:24 ?4326次閱讀

    Vivado ML(機器學習) 2021嘗鮮

    參考:UG973 (v2021.1)圖:賽靈思全新 Vivado? ML 版Vivado 2021.1這個新版本新增加的一些特:1、在IP這個層面的
    發表于 12-22 19:12 ?13次下載
    <b class='flag-5'>Vivado</b> ML(機器學習) 2021嘗鮮

    Vivado? 設計套件 2023.2 版本:加速自適應 SoC 和 FPGA 產品設計

    ,我很高興為大家詳細介紹 AMD 最新發布的 Vivado 設計套件2023.2 ,以及它的更多優勢——將幫助設計人員快速實現
    的頭像 發表于 11-02 08:10 ?775次閱讀

    Vitis2023.2全新GUI的功能特性介紹

    Vitis2023.2之前就安裝過了,vivado 2023.2相比于2023.1區別不明顯,但嵌入式平臺vitis2023.2的變化很大,有種vscode的既視感,更符合軟件開發人員
    的頭像 發表于 01-05 09:42 ?648次閱讀
    Vitis<b class='flag-5'>2023.2</b>全新GUI的<b class='flag-5'>功能</b>特性介紹
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>