<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Vivado創建不包含源文件的IP

OpenFPGA ? 來源: Hack電子 ? 2023-12-06 09:01 ? 次閱讀

有時候我們想參考官方的源碼,但是有些IP怎么也找不到官方的源碼,具體原因是什么呢?

下面從下面兩種Vivado創建IP的流程看下具體的原因:

83976568-93cf-11ee-939d-92fbcf53809c.png

所謂“數字積木”,就是Vivado集成開發環境基于IP的 “積木塊”設計思想。

VIvado中IP定制化流程如下:

83ad0cce-93cf-11ee-939d-92fbcf53809c.png

來源UG896

IP目錄將來自下面的IP統一到一個環境中,這些IP包括XilinxIP、第三方IP和用戶IP。

基于IP-XACT標準,VivadoIP封裝器工具提供了獨一無二的“重用”特性。IP封裝器為Vivado的任何用戶提供了一種能力,即將設計流程任意階段的一個設計進行封裝,然后將該IP作為一個系統級的IP進行使用。

創建不包含源文件的IP

上面建立的IP可以很方便操作一下看到源文件,實際使用過程中IP作為知識產權的成果,設計者并不希望公開IP核的源代碼,下面將帶你建立一個不包含源文件的IP。

第一步:在操作系統下,執行菜單命令【開始】-【所有程序】-【Xilinx Design Tools】-【Vivado2018】點擊【Vivado2018】,啟動Vivado集成開發環境。

83c27ca8-93cf-11ee-939d-92fbcf53809c.png

第二步:在“Vivado2018”主界面下,選擇“Create New Project”選項,彈出“New Project-Createa New Vivado Project”對話框。

第三步:單擊【Next】按鈕,彈出“New Project”對話框。在該對話框中,按如下參數進行設置。

(1)Project name:gateip;

(2)Project location:XXXtest;

(3)選中“Create project subdirectory”前面的復選框。

83d27496-93cf-11ee-939d-92fbcf53809c.png

第四步:單擊【Next】按鈕,彈出“New Project-Project Type”對話框。在該對話框中,按如下參數進行設置。

(1)選中“RTL Project”前面的復選框;

(2)其他按默認設置。

83dffa30-93cf-11ee-939d-92fbcf53809c.png

第七步:單擊【Next】按鈕,彈出“New Project-Default Part”對話框。在該對話框中,選擇器件“xc7k325tffg900-2”。

83f3844c-93cf-11ee-939d-92fbcf53809c.png

第八步:單擊【Next】按鈕,彈出“New Project-New Project Summary”對話框。

84082406-93cf-11ee-939d-92fbcf53809c.png

第九步:單擊【Finish】按鈕。

至此,完成新工程的創建。

84226b90-93cf-11ee-939d-92fbcf53809c.png

接下來添加文件

第一步:【Flow Navigateor】-【Add source】按鈕

842fec8e-93cf-11ee-939d-92fbcf53809c.png

彈出“Add Sources“對話框。在該對話框中,單擊【Add or crete design source】按鈕,彈出“Add Source Files”對話框。在該對話框中,定位到XXXXsource路徑。

843ff5b6-93cf-11ee-939d-92fbcf53809c.png

在該路徑下,選擇gate.v文件??梢钥吹皆凇癆dd Sources”對話框中添加了gate.v文件,并且注意下面的設置。

844a5056-93cf-11ee-939d-92fbcf53809c.png

gate.v文件

8453c172-93cf-11ee-939d-92fbcf53809c.png

第六步:單擊【Finish】按鈕。

第七步:Vivado成開發環境界面左側的“Flow Navigator”窗口中找到并展開“SYNTHESIS”選項。在展開項中,選擇“Run Synthesis”選項,Vivado開始對該設計執行綜合過程。

846b71c8-93cf-11ee-939d-92fbcf53809c.png

第八步:運行完綜合過程后,彈出“Synthesis Completed”對話框。在該對話框中,選擇“Open Synthesized Design”前面的復選框。

84892c7c-93cf-11ee-939d-92fbcf53809c.png

第九步:自動打開綜合后的設計。在Vivado當前設計界面底部的“Tel Console”窗口中輸入“write_edif F:/FILE/FPGA/ZYNQ/test/gateip/ip/gate.edf”命令,將gate.edf文件寫到選擇的目錄下。

84948162-93cf-11ee-939d-92fbcf53809c.png

創建新的設計工程

第一步:啟動Vivado集成開發環境。

第二步:在“Vivado2018”主界面下,選擇“Create New Project”選項,彈出“New Project-Createa New Vivado Project”對話框。

第三步:單擊【Next】按鈕,彈出“New Project”對話框。按照實際進行設置即可。

第四步:單擊【Next】按鈕,彈出“New Project-Project Type”對話框。在該對話框中,按如下參數進行設置。

(1)選中“RTL Project”前面的復選框。

(2)其他按默認設置。

84a89832-93cf-11ee-939d-92fbcf53809c.png

第五步:單擊【Next】按鈕,彈出“New Project-Add Sources“對話框。在該對話框中,單擊【Add Files...】按鈕,彈出“Add Source Files”對話框。在該對話框中,定位到gate.edf文件??梢钥吹皆凇癆dd Sources”對話框中添加了gate.v文件,并且注意下面的設置。

(1)在該對話框中,選中“Copy sources into project”前面的復選框。

(2)Target language:Verilog。

(3)Simulator language:Verilog。

84b2019c-93cf-11ee-939d-92fbcf53809c.png

第六步:單擊【Next】按鈕,彈出“New Project-Add Constraints(optional)”對話框。

84ce480c-93cf-11ee-939d-92fbcf53809c.png

第七步:單擊【NEXT】按鈕,彈出對話框中,選擇器件

第八步:單擊【Next】按鈕,彈出“New Project-New Project Summary”對話框。

第九步:單擊【Finish】按鈕。

至此,完成新工程的創建。

設置定制IP的庫名和目錄

第一步:在Vivado當前工程主界面左側的“Flow Navigator”窗口中找到并展開“PROJECT MANAGER”選項。在展開項中,選擇“Settings”選項。

第二步:彈出“Settings”對話框。在該對話框左側的窗口中,找到并展開“IP”選項。在展開項中,找到并選擇“Packager”選項。在對話框右側的窗口中,按如下參數進行設置。

84dc972c-93cf-11ee-939d-92fbcf53809c.png

第三步:單擊【OK】按鈕,退出“Settings”對話框。

封裝定制IP的實現

封裝IP的步驟如下所示。

第一步:在Vivado當前工程主界面的主菜單下,執行菜單命令【Tools】-【Createand IP Package...】;

84f1e7e4-93cf-11ee-939d-92fbcf53809c.png

第二步:彈出“Create and Package New IP”對話框。

850daa1a-93cf-11ee-939d-92fbcf53809c.png

第三步:單擊【Next】按鈕。

第四步:彈出“Create and Package New IP-Create Peripheral,Package IP or Packagea Block Design”對話框。在該對話框中,選中“Package your current project”前面的復選框。

852361e8-93cf-11ee-939d-92fbcf53809c.png

第五步:單擊【Next】按鈕,彈出“Create and Package New IP-Package Your Current Project”對話框。在該對話框中,按默認參數設置;

852cee70-93cf-11ee-939d-92fbcf53809c.png

第六步:單擊【Next】按鈕,彈出“Create and Package New IP-New IP Creation”對話框。

853e1fba-93cf-11ee-939d-92fbcf53809c.png

第七步:單擊【Finish】按鈕,彈出“Package IP”提示對話框。

第八步:單擊【OK】按鈕,在Vivado右側窗口中,出現配置IP參數的界面。如圖所示,給出了“Identification”參數配置對話框。在該對話框中,按如下參數進行設置。

85510eb8-93cf-11ee-939d-92fbcf53809c.png

(1)Library:userdefme(與前面聲明的庫名稱一致)。

(2)Name:gate

(3)Verison:1.0

(3)Display name:gate_v1_0

(4)Description: 2 input multi_gate with same DELAY configuration parameter

(5)Vendor display name:GPNT

(6)Companyurl:空著即可

(7)其他按默認參數設置。

第九步:選擇“Compatibility”選項。

856db856-93cf-11ee-939d-92fbcf53809c.png

第十步:彈出如圖所示的“Compatibility”參數配置對話框,該配置對話框用于確認該IP所支持的FPGA的類型

第十一步:單擊“File Groups”選項,彈出如圖所示的“File Groups”參數配置對話框

8588d6c2-93cf-11ee-939d-92fbcf53809c.png

在該對話框中,設計者可以添加一些額外的文件,如測試平臺文件。

第十二步:單擊 “Customization Parameters”選項。

看到此時的界面為空的,并沒有像前面封裝包含源文件IP的時候出現“DELAY”參數,這是因為“DELAY”參數對于Verilog HDL而言是行為級描述,在綜合的時候不起任何作用。所以,在對設計綜合后,讀者看不到“DELAY”參數。

第十三步:單擊“Customization GUI”選項,彈出如圖所示的“Customization GUI”對話框。該對話框給出了輸入/輸出端口,以及帶有默認值的參數選項。

859244be-93cf-11ee-939d-92fbcf53809c.png

第十四步:選擇 “Review and Package”選項,彈出“Review and Package”對話框,在該對話框中單擊【Package IP】按鈕,如圖所示。

85a7db1c-93cf-11ee-939d-92fbcf53809c.png

第十八步:彈出“Package IP”對話框,提不“Finished packaging ‘gate_v_l_0’successfully”消息,提示封裝IP成功。

85c4a648-93cf-11ee-939d-92fbcf53809c.png

第十九步:單擊【OK】按鈕。

第二十步:在Vivado當前工程主界面的主菜單下,執行菜單命令【File】-【Close Project】,關閉當前的工程。

調用并驗證不包含源文件的IP 設計

調用和使用完全和之前的章節一樣,這里就不再贅述。

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • IP
    IP
    +關注

    關注

    5

    文章

    1408

    瀏覽量

    148339
  • 源碼
    +關注

    關注

    8

    文章

    574

    瀏覽量

    28609
  • Vivado
    +關注

    關注

    18

    文章

    790

    瀏覽量

    65244
  • 源文件
    +關注

    關注

    0

    文章

    30

    瀏覽量

    4525

原文標題:【Vivado那些事】創建不包含源文件的IP

文章出處:【微信號:Open_FPGA,微信公眾號:OpenFPGA】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    PYNQ設計案例:基于HDL語言+Vivado的自定義IP創建

    作者:Mculover666 1.實驗目的 用HDL語言+Vivado創建一個掛載在AXI總線上的自定義IP核 2.實驗步驟 2.1.創建一個新的項目 ? ? 2.2.調用Create
    的頭像 發表于 12-21 16:34 ?3141次閱讀
    PYNQ設計案例:基于HDL語言+<b class='flag-5'>Vivado</b>的自定義<b class='flag-5'>IP</b>核<b class='flag-5'>創建</b>

    基于 FPGA vivado 2017.2 的74系列IP封裝

    指定添加源文件),先添加源文件。點擊 Next繼續5)選擇目標FPGA器件:xc7a35tcpg236-1或Basys3。6)最后在新工程總結中,檢查工程創建是否有誤。沒有問題,則點
    發表于 12-20 10:23

    怎么遷移那些具有Netlists源文件的pcores?

    的項目,其中包含其他目錄中包含分層網表文件和少數pcoreshave .bbd源文件的內容。我需要將該項目遷移到vivado,但我無法遷移那
    發表于 05-14 09:19

    包含路徑不會添加到項目中的每個源文件怎么解決?

    當在項目設置中添加包含路徑時,不會將此路徑添加到每個源文件中,因此需要在文件夾中搜索頭文件源文件無法構建。我的項目過去常常正確構建。 我
    發表于 05-05 07:49

    基于vivado的fir ip核的重采樣設計與實現

    本文基于xilinx 的IP核設計,源于音頻下采樣這一需求。 創建vivado工程 1. 首先打開vivado,創建一個新的project(
    發表于 02-08 02:25 ?3905次閱讀
    基于<b class='flag-5'>vivado</b>的fir <b class='flag-5'>ip</b>核的重采樣設計與實現

    Vivado將模塊封裝為IP的方法介紹

    在給別人用自己的工程時可以封裝IP,Vivado用封裝IP的工具,可以得到像xilinx的ip一樣的可以配置參數的IP核,但是用其他工程調用
    的頭像 發表于 06-26 11:33 ?7506次閱讀

    如何使用Vivado功能創建AXI外設

    了解如何使用Vivado創建和封裝IP功能創建可添加自定義邏輯的AXI外設,以創建自定義IP。
    的頭像 發表于 11-29 06:48 ?6862次閱讀
    如何使用<b class='flag-5'>Vivado</b>功能<b class='flag-5'>創建</b>AXI外設

    如何在vivado創建新工程上使用IP集成器創建塊設計

    本文介紹如何在 vivado 開發教程(一) 創建新工程 的基礎上, 使用IP集成器, 創建塊設計。
    的頭像 發表于 02-08 10:47 ?2138次閱讀
    如何在<b class='flag-5'>vivado</b><b class='flag-5'>創建</b>新工程上使用<b class='flag-5'>IP</b>集成器<b class='flag-5'>創建</b>塊設計

    Vivado下頭文件使用注意事項

    并不局限于Vivado一種EDA。頭文件主要使用“文件包括”處理,所謂"文件包含"處理是一個源文件
    的頭像 發表于 02-08 10:26 ?1316次閱讀
    <b class='flag-5'>Vivado</b>下頭<b class='flag-5'>文件</b>使用注意事項

    Vivado那些事】Vivado下頭文件使用注意事項

    并不局限于Vivado一種EDA。頭文件主要使用“文件包括”處理,所謂"文件包含"處理是一個源文件
    發表于 03-07 06:01 ?19次下載
    【<b class='flag-5'>Vivado</b>那些事】<b class='flag-5'>Vivado</b>下頭<b class='flag-5'>文件</b>使用注意事項

    如何導出IP以供在Vivado Design Suite中使用?

    使用Vivado Design Suite創建硬件。 3. 在Vitis 統一軟件平臺中編寫軟件并在板上運行。 01 導出 IP 在AXI 基礎第 6 講 - Vitis HLS 中的 AXI4-Lite 簡介中,我們
    的頭像 發表于 04-26 17:32 ?3578次閱讀
    如何導出<b class='flag-5'>IP</b>以供在<b class='flag-5'>Vivado</b> Design Suite中使用?

    使用VIvado封裝自定IP并使用IP創建工程

    在FPGA實際的開發中,官方提供的IP并不是適用于所有的情況,需要根據實際修改,或者是在自己設計的IP時,需要再次調用時,我們可以將之前的設計封裝成自定義IP,然后在之后的設計中繼續使用此IP
    的頭像 發表于 04-21 08:58 ?4707次閱讀

    編輯與改寫IP源文件的方法

    有些時候,根據設計需求可能會想要修改IP核生成的源文件(只能修改未加密文件),包括HDL文件和XDC約束文件。這種修改不能直接修改
    的頭像 發表于 08-25 14:38 ?1819次閱讀

    Vivado中對RTL源文件如何進行加密

    直接把密鑰嵌入到RTL源文件中 允許客戶直接把密鑰的內容直接貼到RTL源文件中protect begin和protect end之間的內容就是用戶原始RTL里的內容.
    發表于 02-10 12:17 ?1191次閱讀

    為EBAZ4205創建Xilinx Vivado文件

    電子發燒友網站提供《為EBAZ4205創建Xilinx Vivado文件.zip》資料免費下載
    發表于 06-16 11:41 ?1次下載
    為EBAZ4205<b class='flag-5'>創建</b>Xilinx <b class='flag-5'>Vivado</b>板<b class='flag-5'>文件</b>
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>