<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

邏輯綜合概述和工藝庫詳解

rfdqdzdg ? 來源: 數字ICer ? 2023-10-27 10:13 ? 次閱讀

本節主要介紹:
邏輯綜合概述
計算延時模型
綜合目標
綜合流程
綜合基本命令
工藝庫及其綜合庫

邏輯綜合概述

DC工作流程主要分為四步:

synthesis = translation + Constrain + logic optimization + gate mapping

Translation :翻譯功能,主要把RTL級的代碼,首先通過轉換器GTECH將代碼轉換成統一用門級描述的電路(Generic Boolean Gates)或者沒有映射的ddc格式;

Constrain :加載約束,設置時鐘頻率,輸入延遲,輸出延遲,負載,工作電壓等

Logic optimization :邏輯優化, 用統一的門級描述電路對面積和時序進行優化。

Gate mapping :門級映射,dc用工藝庫廠商的工藝庫把電路給映射成基本單元,工藝庫包括不同觸發器、邏輯門等標準單元,不同類型的標準單元驅動能力和延遲均不同;在約束文件的作用下,DC編譯出的網表可以符合特定場景下的功能要求,最終得到一個.ddc文件;

.ddc文件包含許多豐富信息,如映射的門電路信息與網表、寄生參數、.v的網表、SDF標準延時信息、SDC約束、工作條件等信息。

吐出的網表文件,SDF延遲文件,并將其反標,可以用于做后仿。

計算延時模型

線負載延遲模型:根據連線掛載的負載數量進行計算;

拓撲結構模型:通過物理約束(phy)和物理庫(lib),給dc工具提供大概布局,得出延遲,比較精確;

一般流程是,dc先綜合一版,用dc吐出的第一版信息,給后端做一版初步布局,利用ICC工具吐出的物理約束(包括某些器件的大概位置),DC啟動拓撲結構模式,利用布局信息再進行綜合,這樣計算得到的延遲信息比較準確。

綜合目標

面積越小,速度越快;

通常在面積和延時之間折中,如果時序和面積不能同時實現,時序擁有更高的優先級。

0efd03f8-746b-11ee-939d-92fbcf53809c.png

綜合流程

綜合時,首先DC的HDL compiler把HDL代碼轉化成DC自帶的GTECH格式,然后DC的library compiler 根據標準設計約束(SDC)文件、IP-DW庫、工藝庫、圖形庫、(使用拓撲模式時,加入的ICC生成DEF模式,加載物理布局信息)進行時序優化、數據通路優化、功耗優化(DC的power compiler進行)、測試的綜合優化(DC的DFT compiler),最后得到優化后的網表。

0f0dd62e-746b-11ee-939d-92fbcf53809c.png

綜合基本命令

0f2bde6c-746b-11ee-939d-92fbcf53809c.png

工藝庫

工藝庫目錄:/opt/Foundary_Library/SMIC_180/smic_180/SM00LB501-FE-00000-r0p0-00rel0/aci/sc-m/synopsys

0f3cab0c-746b-11ee-939d-92fbcf53809c.png

其中:以ss_1v62_125c.lib,ss_1v62_125c.db為例:

.db文件是給DC讀取,對應的.lib文件是供人參考;

Library Compiler工具可將.lib文件轉換為.db文件;

ff最快工藝工藝角,ss最差工藝角,tt典型工藝角(fast、、slow、typical工作模式)

1v62電壓1.62V,125c溫度125攝氏度。

電壓越低速度越慢,溫度越高速度越慢。

打開ss_1v62_125c.lib文件:

版本信息和日期:

/*documentationattributes*/
revision:1.0;
date:"ThuOct2716082005";
comment:"Copyright(c)2005ArtisanComponents,Inc.AllRightsReserved.";

單位信息:時間、電流、電壓、電阻、漏電功耗和負載電容單位信息

/*unitattributes*/
time_unit:"1ns";
voltage_unit:"1V";
current_unit:"1mA";
pulling_resistance_unit:"1kohm";
leakage_power_unit:"1pW";
capacitive_load_unit(1.0,pf);

工作環境:溫度、電壓

/*operationconditions*/
nom_process:1;
nom_temperature:125;
nom_voltage:1.62;
operating_conditions(ss_1v62_125c){
process:1;
temperature:125;
voltage:1.62;
tree_type:balanced_tree
}
default_operating_conditions:ss_1v62_125c;

縮放因子:當溫度變化時,根據縮放因子做出調整

/*thresholddefinitions*/
slew_lower_threshold_pct_fall:30.0;
slew_upper_threshold_pct_fall:70.0;
slew_lower_threshold_pct_rise:30.0;
slew_upper_threshold_pct_rise:70.0;
input_threshold_pct_fall:50.0;
input_threshold_pct_rise:50.0;
output_threshold_pct_fall:50.0;
output_threshold_pct_rise:50.0;
slew_derate_from_library:0.5;

默認屬性:工藝庫的最大電容、最大扇出、輸入輸出電容、漏電功耗等信息

/*defaultattributes*/
default_leakage_power_density:0.0;
default_cell_leakage_power:0.0;
default_fanout_load:1.0;
default_output_pin_cap:0.0;
default_inout_pin_cap:0.0035;
default_input_pin_cap:0.0035;
default_max_transition:4.5;

查找表:計算延遲

/*templates*/
lu_table_template(delay_template_7x1){
variable_1:input_net_transition;
index_1("1000,1001,1002,1003,1004,1005,1006");
}
power_lut_template(energy_template_7x1){
variable_1:input_transition_time;
index_1("1000,1001,1002,1003,1004,1005,1006");
}

工作電壓: GENERAL、CMOS、TTL

/*padattributes*/
output_voltage(GENERAL){
vol:0.4;
voh:VDD-0.4;
vomin:-0.5;
vomax:VDD+0.5;
}
input_voltage(CMOS){
vil:0.3*VDD;
vih:0.7*VDD;
vimin:-0.5;
vimax:VDD+0.5;
}
input_voltage(TTL){
vil:0.8;
vih:2;
vimin:-0.5;
vimax:VDD+0.5;
}

線負載模型:單位電阻,單位電容,斜率,面積,扇出

/*wire-loads*/
wire_load("smic18_wl20"){
resistance:8.5e-8;
capacitance:1.5e-4;
area:0.7;
slope:133.334;
fanout_length(1,133.334);
}


基本單元:加法器

cell(ADDFHX1M){
cell_footprint:addfh;
area:59.270400;
pin(A){
direction:input;
capacitance:0.006299;
}
pin(B){
direction:input;
capacitance:0.012002;
}
pin(CI){
direction:input;
capacitance:0.004209;
}
pin(S){
direction:output;
capacitance:0.0
...
...
}

綜合庫

synthetic_library一般為synopsys的庫:DesignWare library 和標準單元庫;DesignWare library 為synopsys的IP庫:

當使用到synopsys公司的IP核時,則需要定義此綜合庫;

目錄:/opt/Synopsys/Synplify2015/libraries/syn:

0f4e4402-746b-11ee-939d-92fbcf53809c.png

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 轉換器
    +關注

    關注

    27

    文章

    8280

    瀏覽量

    143419
  • DC
    DC
    +關注

    關注

    8

    文章

    3572

    瀏覽量

    678248
  • 觸發器
    +關注

    關注

    14

    文章

    1915

    瀏覽量

    60664
  • 命令
    +關注

    關注

    5

    文章

    638

    瀏覽量

    21868

原文標題:綜合概述及工藝庫

文章出處:【微信號:數字芯片設計工程師,微信公眾號:數字芯片設計工程師】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    邏輯綜合的流程和命令簡析

    綜合就是把Verilog、VHDL轉換成網表的過程。綜合按照是否考慮物理布局信息可分為邏輯綜合和物理綜合。
    的頭像 發表于 08-09 09:51 ?750次閱讀
    <b class='flag-5'>邏輯</b><b class='flag-5'>綜合</b>的流程和命令簡析

    射極耦合邏輯(ECL)基礎知識詳解

    本帖最后由 gk320830 于 2015-3-9 21:49 編輯 射極耦合邏輯(ECL)基礎知識詳解ECL(Emitter Coupled Logic),中文譯名“射極耦合邏輯”,是一種
    發表于 08-07 11:48

    【參考書籍】XILINX可編程邏輯器件設計技術詳解—何賓著

    ` 本帖最后由 eehome 于 2013-1-5 09:47 編輯 XILINX可編程邏輯器件設計技術詳解第1章 可編程邏輯器件設計流程導論11.1 設計流程概述11.2 設計輸
    發表于 04-24 09:18

    淺談IC設計中邏輯綜合

    和面積約束,按照一定的算法對翻譯結果進行邏輯重組和優化。1.3映射:根據所施加的時序和面積約束,從目標工藝中搜索符合條件的單元來構成實際電路的邏輯網表。約束條件是
    發表于 05-16 20:02

    PCB工藝流程詳解

    PCB工藝流程詳解PCB工藝流程詳解
    發表于 05-22 14:46

    FPGA的邏輯仿真以及邏輯綜合的一些原則

    apex20ke_atoms.v編譯到其中。2:在圖形界面中的Load Design對話框中裝入仿真設計時,在Verilog 標簽下指定預編譯的完整路徑。(見下圖)邏輯綜合目前可用的FPGA
    發表于 05-15 07:00

    DC邏輯綜合詳解

    DC邏輯綜合詳解DC軟件簡介邏輯綜合DC命令DC軟件簡介DC( Design Compiler )為Synopsys公司
    發表于 07-29 08:07

    什么是邏輯綜合?

    DC軟件怎么樣?什么是邏輯綜合?
    發表于 11-02 06:41

    DC邏輯綜合

    芯片綜合的過程:芯片的規格說明,芯片設計的劃分,預布局,RTL 邏輯單元的綜合,各邏輯單元的集成,測試,布局規劃,布局布線,最終驗證等步驟。設計流程與思想
    發表于 12-29 16:28 ?25次下載
    DC<b class='flag-5'>邏輯</b><b class='flag-5'>綜合</b>

    PCB工藝流程詳解

    PCB工藝流程詳解
    發表于 01-28 21:32 ?0次下載

    邏輯漏洞之越權詳解

    邏輯漏洞之越權詳解
    發表于 09-07 09:41 ?5次下載
    <b class='flag-5'>邏輯</b>漏洞之越權<b class='flag-5'>詳解</b>

    芯片設計之邏輯綜合過程

    邏輯綜合操作(Compile design),根據芯片的復雜程度,邏輯綜合操作的時間可能是幾秒,也可能是半個月。如果設計環境和約束設置不當,邏輯
    的頭像 發表于 08-12 15:10 ?3554次閱讀

    邏輯綜合與物理綜合

    利用工具將RTL代碼轉化為門級網表的過程稱為邏輯綜合。綜合一個設計的過程,從讀取RTL代碼開始,通過時序約束關系,映射產生一個門級網表。
    的頭像 發表于 11-28 16:02 ?2065次閱讀

    半導體制造工藝之光刻工藝詳解

    半導體制造工藝之光刻工藝詳解
    的頭像 發表于 08-24 10:38 ?1465次閱讀
    半導體制造<b class='flag-5'>工藝</b>之光刻<b class='flag-5'>工藝</b><b class='flag-5'>詳解</b>

    什么是邏輯綜合?邏輯綜合的流程有哪些?

    邏輯綜合是將RTL描述的電路轉換成門級描述的電路,將HDL語言描述的電路轉換為性能、面積和時序等因素約束下的門級電路網表。
    的頭像 發表于 09-15 15:22 ?2860次閱讀
    什么是<b class='flag-5'>邏輯</b><b class='flag-5'>綜合</b>?<b class='flag-5'>邏輯</b><b class='flag-5'>綜合</b>的流程有哪些?
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>