<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

D觸發器與Latch鎖存器電路設計

冬至子 ? 來源:新芯設計 ? 作者:新芯設計 ? 2023-10-09 17:26 ? 次閱讀

引言

D 觸發器,是時序邏輯電路中必備的一個基本單元,學好 D 觸發器,是學好時序邏輯電路的前提條件,其重要性不亞于加法器,二者共同構成數字電路組合、時序邏輯的基礎。

一、D 觸發器的 Verilog 代碼實現和 RTL 電路實現

module D_FF(
    input  Clk,
    input  D,
    output reg Q
    );

   always @(posedge Clk) begin
      Q <= D;
   end

endmodule

觸發器(Flip - Flop):能夠存儲 1 位二值信號的基本單元統稱為 D 觸發器,簡稱 DFF,多個 D 觸發器的級聯便構成了能夠存儲多位二值信號的基本電路。時鐘信號(Clock),簡稱 Clk,當系統中有多個 D 觸發器需要同時動作時,就可以用同一個 Clk 信號作為同步控制信號。

電路中的輸入端處沒有小圓圈表示 Signal 以高電平為有效信號。(如果在 Signal 輸入端畫有小圓圈,則表示 Signal 以低電平作為有效信號)。

D 觸發器的 RTL 電路圖如下所示:

圖片

D 觸發器的 RTL 電路圖

二、D 觸發器的 Verilog 代碼實現和 RTL 電路實現

module Latch(
    input din,
    input en,
    output reg dout
    );

    always @(din or en)
        if(en) 
            dout <= din;

endmodule

鎖存器(Latch):一種對脈沖電平敏感的存儲單元電路,可以在特定輸入脈沖電平作用下才改變狀態;而鎖存,就是把信號暫存以維持某種電平狀態。

鎖存器的最主要作用是緩存,利用電平控制數據的輸入與輸出,它包括不帶使能控制的鎖存器和帶使能控制的鎖存器。鎖存器的缺點是容易產生毛刺,不穩定,不利于靜態時序分析。

鎖存器的 RTL 電路圖如下所示:

圖片

鎖存器的 RTL 電路圖

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 鎖存器
    +關注

    關注

    8

    文章

    847

    瀏覽量

    41105
  • RTL
    RTL
    +關注

    關注

    1

    文章

    382

    瀏覽量

    59162
  • D觸發器
    +關注

    關注

    2

    文章

    147

    瀏覽量

    47458
  • 時序邏輯電路

    關注

    2

    文章

    79

    瀏覽量

    16429
  • 時鐘信號
    +關注

    關注

    4

    文章

    386

    瀏覽量

    28169
收藏 人收藏

    評論

    相關推薦

    、觸發器、寄存和緩沖的區別

    的數據和運算結果,它被廣泛的用于各類數字系統和計算機中。其實寄存就是一種常用的時序邏輯電路,但這種時序邏輯電路只包含存儲電路。寄存的存儲
    發表于 10-09 16:19

    凔海筆記之FPGA(六):觸發器

    邏輯可構成時序邏輯電路,簡稱時序電路?,F在討論實現存儲功能的兩種邏輯單元電路,即
    發表于 05-21 06:50

    Verilog 基本電路設計指導書

    觸發器 162.7.8 D-Latch) 172.8 ALU 182.9 有限狀態機(FSM)的設計 202.9.1 概述 20
    發表于 12-08 14:42

    寄存、觸發器的區別

    寄存:registerlatch觸發器:flipflop 一、
    發表于 07-03 11:50

    觸發器、、寄存三者的區別

    觸發器:能夠存儲一位二值信號的基本單元電路統稱為“觸發器”。:一位
    發表于 09-11 08:14

    【轉】數字電路三劍客:、觸發器和寄存

    變的情況下輸出才變化。兩個D可以構成一個D觸發器,歸根到底還是dff是邊沿
    發表于 10-27 22:38

    的缺點和優點

    的,不過一定要保證所有的latch信號源的質量,在CPU設計中很常見,正是由于它的應用使得CPU的速度比外部IO部件邏輯快許多。latch
    發表于 04-23 03:35

    Verilog基本功--flipflop和latch以及register的區別

    觸發器:flipfloplatch寄存:register
    發表于 08-27 08:30

    晶體管/門電路//觸發器解析

    晶體管,門電路,,觸發器的理解
    發表于 01-12 07:55

    圖文并茂:D觸發器電路設計教程

    觸發器敏感的SR,如圖所示,現在S = D而R =不是D。
    發表于 02-03 08:00

    兩個觸發器的目的是什么

    2020.3.26_學習筆記兩個D觸發器? 最近發現一個問題,代碼中會特地的新建一個D觸發器用來
    發表于 07-30 06:44

    關于modelsim后仿真出現不定態的問題

    本帖最后由 xvjiamin 于 2021-9-26 20:46 編輯 如圖,在編寫TDC延時鏈的時候,結果通過D觸發器。但是出現了紅色的不定態,這是為什么?該怎么解決呢?
    發表于 09-26 20:41

    觸發器的工作原理是什么

    的工作原理是什么?的動態特性及其應用有哪些?觸發
    發表于 11-03 06:48

    觸發器

    觸發器1.什么情況要用到?狀態不能保持
    發表于 03-10 17:52

    D觸發器設計的停電自電路

    電后,與非門的1腳為低電平,故U1A輸出端第3腳為高電平,3腳與與非門的12腳相連,故12腳也為高電平?! ?、電路剛上電時,D觸發器的RD引腳通過電容C1,電阻R2上電復位,使D
    發表于 03-20 15:33
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>