<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

從零開始反相器的verilog設計

冬至子 ? 來源:ExASIC ? 作者:陳鋒 ? 2023-10-09 15:33 ? 次閱讀

反相器的晶體管級電路

反相器,是數字集成電路最基本的電路之一。由一個pmos和一個nmos組成,輸入信號IN接到pmos和nmos的柵極,輸出信號OUT由漏極引出,如下圖。

圖片

假設VDD=3.3V,VSS=0V,mos管的開啟電壓Vt=0.7V:

  • 當IN=3.3V時,
    • nmos的柵源電壓Vgs>Vt,nmos導通,導通后漏源間的電阻Rds變得很?。ū热?.1Ω),所以nmos漏極電壓Vds就被拉到接近地。
    • pmos的Vgs=0V,小于開啟電壓Vt,pmos關斷,所以pmos的漏極電壓由nmos決定。
    • 綜上,OUT≈0V。
  • 當IN=0V時,
    • nmos的Vgs=0V,nmos關斷,輸出由pmos決定。
    • pmos的Vgs=-3.3V,大于開啟電壓Vt,pmos導通,Rds變得很小,pmos的輸出被拉到接近VDD。
    • 綜上,OUT≈3.3V。

我們把輸入輸出關系整理如下表:

1.jpg

反相器的數字邏輯關系

數字集成電路不太關心電源和地的具體電壓值,只關心輸入輸出邏輯關系。通用把接近VDD的電壓稱為高電平,用數字1表示,而接近VSS的電壓稱為低電平,用數字0來表示。

所以,反相器的輸入輸出電壓關系用邏輯電平可表示為:

1.jpg

反相器的數學表示法

20世紀初,英國科學家香農指出,布爾代數(一種用來推理的數學)可以用來描述電路:布爾代數的運算法則正好與組合邏輯電路里的“與”、“或”和“非”相同。

交集(與):OUT = IN1 · IN2
并集(或):OUT = IN1 + IN2
差集(反相):OUT = 圖片

所以,非邏輯就對應反相器。

反相器的電路符號

在畫反相器的門級電路符號時可以抽象成下面的符號。輸出端的圓圈表示邏輯上相反。

圖片

verilog描述

verilog,全稱Verilog HDL,一種硬件描述語言,由美國gateway公司1983年創立。因為verilog從C語言里借鑒了一些語法規則,看起來與C語言有點像,所以在工程中得到廣泛地推廣和應用,因此成為了IEEE標準,IEEE1364。

我們來用verilog描述這個反相器電路的形為(也稱為形為級描述):

圖片

1.jpg

第1行:注釋
第2行:定義一個電路模塊,電路模塊的名字是inv
第4~5行:定義這個電路模塊的輸入和輸出端口
第8行:描述了輸出和輸入之間的邏輯關系
第10行:結束這個電路模塊的定義和描述

把這段verilog代碼變成電路

用verilog寫出來的這段代碼,只是描述了電路模塊端口和內部的邏輯。然后,使用邏輯綜合工具,把verilog映射成由若干個提前設計好的基本單元電路(即工廠提供的標準單元庫)連線而成的復雜電路。這種自動化的設計方法不再需要像模擬電路一樣手工設計晶體管級的電路了,不僅大大加快了設計效率,也使得設計超大規模集成電路成為可能。

我們把上面的verilog用綜合工具(比如synopsys dc)綜合一下,得到下面的網表:

圖片

可以看到,綜合工具把verilog描述的邏輯表達式自動替換成了由標準單元組成的電路了。(注:這里端口的定義格式稍有不一樣,是采用的verilog95的風格。)

我們可以用網表查看工具自動繪制出門級電路圖:

圖片

總結

本文從數字集成電路設計角度闡述了反相器的原理、數字邏輯表示方法、verilog語言的描述、再到把verilog代碼轉換回電路的方法和過程。后面會繼續用這種思維帶領大家學習和設計數字集成電路中的常用電路。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 反相器
    +關注

    關注

    6

    文章

    280

    瀏覽量

    42746
  • Verilog
    +關注

    關注

    28

    文章

    1327

    瀏覽量

    109409
  • 晶體管
    +關注

    關注

    77

    文章

    9089

    瀏覽量

    135443
  • NMOS管
    +關注

    關注

    2

    文章

    115

    瀏覽量

    5250
  • PMOS管
    +關注

    關注

    0

    文章

    79

    瀏覽量

    6448
收藏 人收藏

    評論

    相關推薦

    反相器構成的振蕩該如何分析?

    在此cmos電路構成的振蕩中,假設最開始輸入為高電平,一級反相器輸出為低電平,再經一級反相器輸出為高電平,這個電路是如何實現振蕩原理的?兩個電阻的關系是如何確立的,電容在該電路中充當
    發表于 01-26 17:59

    從零開始學CPLD和Verilog HDL編程技術教程免費下載

    從零開始學系列之從零開始學CPLD和Verilog HDL編程技術非常不錯,大家支持下下載地址:https://bbs.elecfans.com/jishu_264455_1_1.html
    發表于 02-06 16:42

    從零開始學電路基礎》(從零開始學電子技術叢書)

    電氣控制與PLC技術].劉建清.掃描版.pdf[從零開始學電子測量技術].李建清.掃描版.pdf[從零開始學CPLD和Verilog.HDL編程技術].李建清.掃描版.pdf[從零開始
    發表于 06-05 23:12

    labview從零開始

    怎么從零開始啊,哪位大神給個意見
    發表于 07-10 10:29

    [從零開始學CPLD和Verilog HDL編程技術]高清版

    ` 本帖最后由 zgzzlt 于 2012-8-15 21:51 編輯 [從零開始學CPLD和Verilog HDL編程技術]`
    發表于 08-15 08:39

    從零開始學系列電子書籍》大集合【值得收藏】?。?!

    收藏! 該系列叢書有:1.從零開始學電路基礎;2.從零開始學模擬電子技術;3.從零開始學數字電子技術;4.從零開始學單片機C語言;5.從零開始
    發表于 08-15 11:43

    反相器選型

    我想請教下反相器需要關注什么參數?
    發表于 04-28 17:52

    [從零開始學CPLD和Verilog.HDL編程技術].李建清.

    [從零開始學CPLD和Verilog.HDL編程技術].李建清.
    發表于 04-20 08:01

    從零開始學電子》叢書全套網盤分享

    ://pan.baidu.com/s/1jSiiNZWIVCrQCeMlBBOMhA提取碼:6y3f【從零開始學電子叢書】從零開始學CPLD和Verilog+HDL編程技術鏈接:https
    發表于 06-28 18:45

    設計反相器如何解決PEX的問題?

    一個反相器的設計,LVS通過了,但是PEX的時候又碰到了問題怎么解決?
    發表于 06-24 06:47

    反相器的輸出不對!

    這個電路的輸出應該是低電平0V,但是用4V電壓的反相器器件仿真結果正確,用下面那個6V電壓的反相器器件的仿真結果輸出就是2V多的電平,請問這是怎么回事?
    發表于 08-24 08:00

    反相器,反相器是什么意思

    反相器,反相器是什么意思  在電子線路設計中,經常要用到反相器  反相器是可以將輸入信號的相位反轉180度,這種電路應用在
    發表于 03-08 11:52 ?1.1w次閱讀

    cmos反相器設計電路圖

    本文開始介紹了CMOS反相器的定義和CMOS反相器工作原理,其次闡述了CMOS反相器傳輸特性與工作速度,最后詳細介紹了CMOS反相器的電路圖
    發表于 03-27 15:34 ?8w次閱讀
    cmos<b class='flag-5'>反相器</b>設計電路圖

    MOS反相器和CMOS反相器的詳細資料說明

    本文檔的主要內容詳細介紹的是MOS反相器和CMOS反相器的詳細資料說明包括了:MOS反相器,電阻負載NMOS反相器,采用晶體管作為負載器件的反相器
    發表于 03-20 08:00 ?36次下載
    MOS<b class='flag-5'>反相器</b>和CMOS<b class='flag-5'>反相器</b>的詳細資料說明

    從一個反相器開始說時序

    看到文章的標題,我猜您也許會覺得反相器很簡單,但其實反相器是所有數字設計的基本核心單元。
    的頭像 發表于 06-27 11:45 ?1266次閱讀
    從一個<b class='flag-5'>反相器</b><b class='flag-5'>開始</b>說時序
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>