<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

介紹一種IP控制信號的處理方式

sanyue7758 ? 來源:IC的世界 ? 2023-09-15 09:26 ? 次閱讀

ASIC/FPGA項目中,我們會用到很多IP,其中有很多IP存在內部控制信號以及內部狀態信號。這些控制信號和內部狀態信號影響數據流的接收和發送。

以PCIe為例,藍色框圖部分為PCIe用戶側邏輯,是需要用戶實現的邏輯。

左側白色為PCIe IP,PCIe內部配置空間有一個控制信號,名為bus_master_en(簡寫),當bus_master_en為0時,不期望用戶邏輯發送dma請求(memory讀寫請求)。

所以在用戶邏輯中,我們就需要感知到這些信號的數值,用于控制數據邏輯模塊。

fea1dbc4-5317-11ee-a25d-92fbcf53809c.png ???

通常我們期望這些信號是可控的,能夠靈活配置,既給芯片加了一層保障,又方便debug。

即使對IP或者協議的理解有誤,也能通過配置規避大部分問題。

那一種很常見的方式就是,添加一個mux,默認情況下,使用ip驅動的控制信號。

如圖所示:

ip_bus_mst_en是ip輸出的控制信號。

app_bus_mst_en用于控制內部數據模塊。

cfg_mst_en和cfg_mst_sel都來自配置csr模塊,可靈活配置成0或者1.

cfg_mst_sel默認值為0,表示選擇ip_bus_mst_en,在默認情況下,app_bus_mst_en的數據與ip_bus_mst_en相同

這種設計小技巧在IC項目中比較普遍,但是又時常被忘記。







審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21367

    瀏覽量

    594700
  • 控制器
    +關注

    關注

    112

    文章

    15392

    瀏覽量

    172735
  • FPGA設計
    +關注

    關注

    9

    文章

    427

    瀏覽量

    26335
  • CSR
    CSR
    +關注

    關注

    3

    文章

    117

    瀏覽量

    69463
  • Mux
    Mux
    +關注

    關注

    0

    文章

    38

    瀏覽量

    23262
  • PCIe接口
    +關注

    關注

    0

    文章

    115

    瀏覽量

    9554

原文標題:IC設計:一種IP控制信號的處理方式

文章出處:【微信號:處芯積律,微信公眾號:處芯積律】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    求助:請問這兩對電源處理方式的區別和它們的用法。

    請問這兩對電源處理方式的區別和它們的用法。
    發表于 07-24 16:43

    一種基于FPGA的振動信號采集處理系統設計介紹

    越來越力不從心?! ∫訤PGA為代表的可編程邏輯器件以其工作穩定、速度快、靈活的可編程能力等特點,獲得了越來越廣泛應用。本文提出了一種基于FPGA的振動信號采集處理系統;該系統具有實時性高,糾錯能力強等
    發表于 07-01 06:11

    PCB過孔阻焊的處理方式

    `請問PCB過孔阻焊的處理方式有哪些?`
    發表于 12-31 15:28

    一種開源的NET系統推薦

    。Microsoft .NET Remoting 提供了一種允許對象通過應用程序域與另對象進行交互的框架,一種分布式處理方式。MES(Manufacturing Execution.
    發表于 07-01 06:20

    串口IDLE中斷的處理方式是什么

    如何對串口DMA進行初始化呢?串口IDLE中斷的處理方式是什么?
    發表于 12-08 07:28

    按鍵消抖都有哪些處理方式?

    按鍵消抖都有哪些處理方式除了硬件消抖電路用軟件怎么實現
    發表于 11-01 07:06

    光盤銷毀機的處理方式

    光盤銷毀機的處理方式         
    發表于 12-31 10:50 ?4106次閱讀

    無鉛PCB的設計方法和表面處理方式選擇等介紹

    和形狀,鋼網和焊盤的關系能符合最佳焊接的溫度。 2、設計方法和細節的處理: 避免出現焊接立碑的情況,所以在設計時候對器件的受熱要考慮周全,保證每個器件受熱均勻。 3、表面處理方式的選擇: 不同的表面處理方式對成本和加工
    發表于 09-27 11:51 ?0次下載

    基于android采用異步處理方式開發移動護理程序的設計

    移動護理系統開發采用異步處理方式,可以縮短執行操作的時間,避免UI線程阻塞。筆者介紹了采用異步處理方式開發移動護理程序的方法,并以移動護理中的病人列表異步任務
    發表于 10-26 16:24 ?0次下載

    介紹學習一種模擬信號處理方式:對數處理

    非常大的信號如1mv~1v,前級電路該如何覆蓋處理到上下限而不降低性能呢?切換采集量程是一種最簡單的方式,也可通過軟件設計成自動控制增益,也
    的頭像 發表于 01-11 10:07 ?1w次閱讀
    <b class='flag-5'>介紹</b>學習<b class='flag-5'>一種</b>模擬<b class='flag-5'>信號</b>的<b class='flag-5'>處理方式</b>:對數<b class='flag-5'>處理</b>

    【經驗分享】系統常用 (電磁兼容)EMC處理方式

    【經驗分享】系統常用 (電磁兼容)EMC處理方式
    發表于 04-17 10:02 ?35次下載
    【經驗分享】系統常用 (電磁兼容)EMC<b class='flag-5'>處理方式</b>

    PCB電鍍中異常處理方式

    個人收集的一些異常處理方式
    發表于 08-11 15:01 ?0次下載

    淺析測力傳感器的兩種處理方式

    淺析測力傳感器的兩種處理方式
    的頭像 發表于 12-20 17:31 ?697次閱讀
    淺析測力傳感器的兩種<b class='flag-5'>處理方式</b>

    使用相序識別儀時,常見故障原因以及處理方式概述

    使用相序識別儀時,常見故障原因以及處理方式概述? 相序識別儀(Phase Sequence Relay)是一種用于工業和家庭電氣系統中的設備,主要用于檢測和糾正電源的相序錯誤。然而,相序識別
    的頭像 發表于 12-19 15:04 ?684次閱讀

    模數轉換器結束信號的四種處理方式

    模數轉換器結束信號的四種處理方式? 模數轉換器(ADC)是將模擬信號轉換為數字信號的設備。在ADC操作過程中,結束信號非常重要,它指示了模數
    的頭像 發表于 02-03 16:41 ?445次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>