<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

EDA程序設計—出租車計費器

冬至子 ? 來源:吃小竹子丫 ? 作者:吃小竹子丫 ? 2023-08-25 16:55 ? 次閱讀

1 系統設計

1.2設計要求

1.1.1 設計任務

設計并制作一臺出租車計費器。

1.1.2 性能指標要求

① 用EDA實訓儀的I/O設備和FPGA實現出租車計費器的設計。

② 出租車起步開始計程和計費,計程系統按實際公里數計程,計費系統首先顯示起步價(如7.0),車行駛2km以內,只收起步價7元。

③ 出租車行駛超過2km后,按每公里1.6元在7.0元的基礎上增加。

④ 出租車行駛超過10km后(或超過20元路費),每公里加收50%的車費,即車費變為每公里2.4元。

⑤ 出租車達到目的地后,(用一個按鈕)計程和計費數據清零,為下一次計費開始。

1.2 設計思路及設計框圖

1.2.1設計思路

計程和計費都是十進制位的輸入,通過脈沖實現計數。計程通過分頻器的輸出作為輸入,產生的秒脈沖以實現計數功能。計費在LED數碼管上分為個位十位(例如起步價為7元,顯示器上顯示70,三公里時為86......),計程器和計費器在一個程序內完成,分頻器用另一個程序完成,并將程序都生成一個元器件,用圖形法級聯在一起。上硬件仿真后,打開開關輸入脈沖,通過上升沿觸發使路程計算加一,并使總路計算模塊加一,到達相應的公里數后沒每公里收費也隨之改變。

1.2.2總體設計框圖

2.1 總體電路圖

2.2 分頻器模塊

module fpq_(clk,KS,clk_1s);

input clk,KS;

output reg clk_1s;

reg[26:0] qs;

always @(posedge clk)

if(KS==1)

begin

if(qs==49999999) qs=0;

else qs=qs+1;

if(qs==24999999) clk_1s=0;

else clk_1s=1;

end

endmodule

分頻器

2.3計程計費器模塊

module CZCJFQ(clk,clrn,clk2,L1,L2,L3,L4,L5,L6);

input clk,clrn,clk2;

output L1,L2,L3,L4,L5,L6;

wire[15:0] Q;

reg[7:0] km;

reg[11:0] ZJ;

reg clk1;

reg [6:0] L1,L2,L3,L4,L5,L6;

initial clk1=1;

always @(posedge clk^clk2 or negedge clrn)

begin

if(~clrn) km[3:0]=0;

else begin

if(km[3:0]==9) km[3:0]=0;

else km[3:0]=km[3:0]+1;

if(km[3:0]==9) clk1=0;

else clk1=1;

end

end

always @(posedge clk1 or negedge clrn)

begin

if(~clrn) km[7:4]=0;

else begin

if( km[7:4]==9) km[7:4]=0;

else km[7:4]= km[7:4]+1;

end

else begin

if(ZJ[11:0]>9999) ZJ[11:0]=70;

else if(km[7:0]>'h9) ZJ[11:0]=ZJ[11:0]+24;

else if(km[7:0]>'h1) ZJ[11:0]=ZJ[11:0]+16;

else ZJ[11:0]=ZJ[11:0];

end

end

assign{Q[3:0]}={ZJ[11:0]}%10;

assign{Q[7:4]}={ZJ[11:0]}/10%10;

assign{Q[11:8]}={ZJ[11:0]}/100%10;

assign{Q[15:12]}={ZJ[11:0]}/1000;

路程計費器模塊

2.4 組合邏輯模塊(部分)

always@ (Q[0],Q[1],Q[2],Q[3])

begin

case ({Q[3],Q[2],Q[1],Q[0]})

4'B0000:L1=7'B1000000;

4'B0001:L1=7'B1111001;

4'B0010:L1=7'B0100100;

4'B0011:L1=7'B0110000;

3 調試過程

編程代碼和編譯過程經常出現問題,尤其是always@(*)語句上經常出錯,以及在下載到硬件仿真的時候LED數碼管沒有反應,在向老師求助答疑后加入了些組合邏輯模塊,修改過后的程序能正常編譯,之后將程序下載到硬件中,打開開關檢查路程計算能正常運行,路程計費計算功能正常,可以達到驗收標準。

4 功能測試

4.1 測試儀器與設備

計算機一臺

EDA實訓儀

4.2 性能指標測試

打開開關后輸入脈沖可產生路程計算,隨著路程的遞增費用也按要求遞增,按下按鍵后數據可清零,也可以通過按下按鍵自行增加路程。

仿真波形圖(部分模塊)

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA設計
    +關注

    關注

    9

    文章

    427

    瀏覽量

    26333
  • led數碼管
    +關注

    關注

    2

    文章

    66

    瀏覽量

    21836
  • 分頻器
    +關注

    關注

    43

    文章

    437

    瀏覽量

    49388
  • EDA設計
    +關注

    關注

    1

    文章

    45

    瀏覽量

    13628
  • 計費器
    +關注

    關注

    0

    文章

    7

    瀏覽量

    7291
收藏 人收藏

    評論

    相關推薦

    一種出租車計費器設計方案

    介紹了采用EDA技術的層次化設計方法設計出租車計費器的方法。無需添加外圍電路,更新功能僅需修改軟件。
    發表于 12-07 16:53 ?3680次閱讀

    基于FPGA的出租車計費器設計

    基于FPGA的出租車計費器設計,自己做的,仿真不是很好,但作為參考不錯。。。
    發表于 06-02 13:55

    基于FPGA出租車計費器設計報告(verilog)

    基于FPGA出租車計費器設計報告(verilog)
    發表于 10-11 18:49

    求一個數電的出租車計費器的multisim源文件

    求一個數電的出租車計費器的multisim源文件,謝謝大家了,我急著用!在線等,拜托大神了!
    發表于 06-13 21:28

    書求一個基于multisim的出租車計費器的電路圖!

    書求一個基于multisim的出租車計費器的電路圖!
    發表于 12-29 16:58

    請教大神如何去設計出租車計費器系統?

    本文介紹了一種以Altera公司可編程邏輯器件EP1K30TC144-3為控制核心,附加一定外圍電路組成的出租車計費器系統。
    發表于 04-30 06:06

    多功能出租車計費器的相關資料推薦

    單片機出租車計費器一、實驗目的二、實驗內容三、實驗步驟四、C代碼如下五、實驗結果六、實驗體會一、實驗目的我國城市發展日新月異,交通工具也越來越多樣化。我國出租汽車行業因而迅猛發展,出租
    發表于 11-25 06:20

    單片機出租車計費器實驗步驟

    單片機出租車計費器一、實驗目的二、實驗內容三、實驗步驟四、C代碼如下五、實驗結果六、實驗體會一、實驗目的二、實驗內容三、實驗步驟四、C代碼如下五、實驗結果六、實驗體會...
    發表于 12-06 06:40

    基于CPLD/FPGA的出租車計費器

    摘要: 介紹了出租車計費器系統的組成及工作原理,簡述了在EDA平臺上用單片CPLD器件構成該數字系統的設計思想和實現過程。論述了車型調整模塊、計程模塊、計費模塊
    發表于 06-20 15:24 ?1192次閱讀
    基于CPLD/FPGA的<b class='flag-5'>出租車</b><b class='flag-5'>計費器</b>

    基于單片機的出租車計費器

    基于單片機的出租車計費器,用C來編程的。。
    發表于 01-14 17:10 ?16次下載

    單片機課程設計報告-簡易出租車計費器

    簡易出租車計費器
    發表于 04-13 14:29 ?45次下載

    一種基于EDA技術的出租車計費系統設計與實現

    本文主要介紹了一種基于EDA技術的出租車計費系統設計與實現,采用EDA技術的層次化設計方法設計出租車計費
    發表于 12-25 09:20 ?4469次閱讀
    一種基于<b class='flag-5'>EDA</b>技術的<b class='flag-5'>出租車</b><b class='flag-5'>計費</b>系統設計與實現

    出租車計費器的PCB原理圖免費下載

    本文檔的主要內容詳細介紹的是出租車計費器的PCB原理圖免費下載。
    發表于 10-10 16:08 ?0次下載
    <b class='flag-5'>出租車</b><b class='flag-5'>計費器</b>的PCB原理圖免費下載

    利用Verilog_HDL語言設計出租車計費器

    利用Verilog_HDL語言設計出租車計費器案例。
    發表于 04-09 16:22 ?62次下載

    EDA程序設計出租車計費器

    1.1 設計要求 1.1.1 設計任務 設計并制作一臺出租車計費器。1.1.2 性能指標要求① 用EDA實訓儀的I/O設備和FPGA實現出租車計費器
    發表于 08-22 10:19 ?4次下載
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>