<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

DDR3緩存模塊仿真平臺構建步驟

FPGA快樂學習 ? 來源:FPGA快樂學習 ? 2023-08-12 11:08 ? 次閱讀

1. 復制Vivado工程路徑vivado_prjat7.srcssources_1ipmig_7series_0下的mig_7series_0文件夾。粘貼到仿真路徑testbench b_ddr3_cache(新建用于DDR3仿真的文件夾)下。

2. 拷貝一個glbl.v文件到testbench b_ddr3_cachemig_7series_0example_designsim文件夾下。

3. 打開testbench b_ddr3_cachemig_7series_0example_designsim文件夾下的sim.do文件中。進行編譯的文件路徑需要做移植修改。

將vlib work以及后面的內容刪除

復制下面的腳本到sim.do中。

vlib work

vmap work work

vlog -work workglbl.v

#Compile all modules#

vlog../../../../../design/m_ddr3_cache.v

vlog../../../../../vivado_prj/at7.srcs/sources_1/ip/fifo_ddr3_write/fifo_ddr3_write_sim_netlist.v

vlog../rtl/traffic_gen/mig_7series*.v

vlog../rtl/example_top.v

vlog ../../user_design/rtl/mig_7series_0.v

vlog../../user_design/rtl/mig_7series_0_mig_sim.v

vlog -incr../../user_design/rtl/clocking/*.v

vlog -incr../../user_design/rtl/controller/*.v

vlog -incr../../user_design/rtl/ecc/*.v

vlog -incr../../user_design/rtl/ip_top/*.v

vlog -incr../../user_design/rtl/phy/*.v

vlog -incr../../user_design/rtl/ui/*.v

#Compile files in sim folder (excluding model parameterfile)#

vlog *.vh

vlog *.sv

vlog *.v

#Pass the parametersfor memory model parameter file#

vlog -sv +define+x2Gb+define+sg15E +define+x16 ddr3_model.sv

#Load the design. Userequired libraries.#

vsim -voptargs=+acc-L unisims_ver -L unisim -L work -Lfunisims_ver +notimingchecks -Lsecureipwork.glblwork.sim_tb_top

add wavesim:/sim_tb_top/uut_m_ddr3_cache/*

4. 打開testbench b_ddr3_cachemig_7series_0example_designsim文件夾下的sim_tb_top.v文件(測試腳本),進行必要的修改,將用戶設計移植到這個測試腳本中。

example_top模塊的例化可以用用戶設計模塊替代,接口一一映射即可。

5. 打開modelsim,點擊菜單Compile--> Compile options,修改Verilog &SystemVerilog下,勾選Use SystemVerilog選項。

6. 打開run_simulation.bat文件,增加新的仿真自動運行項。

@echo off

@cls

title FPGA Auto Simulation batch script

echo ModelSim simulation

echo.

echo Press '1' to start tb_pll simulation

echo.

echo Press '2' to start tb_fifo_img simulation

echo.

echo Press '3' to start tb_image_capture simulation

echo.

echo Press '4' to start tb_fifo_ddr3_write simulation

echo.

echo Press'5' to start tb_ddr3_cache simulation

echo.

:input

set INPUT=

set /P INPUT=Type test number: %=%

if "%INPUT%"=="1" goto run1

if "%INPUT%"=="2" goto run2

if "%INPUT%"=="3" goto run3

if "%INPUT%"=="4" goto run4

if"%INPUT%"=="5" goto run5

goto end

:run1

@cls

echo Start tb_pll Simulation;

echo.

echo.

cd testbench/tb_pll

vsim -do "do compile.do"

gotoclean_workspace

:run2

@cls

echo Start tb_fifo_img Simulation;

echo.

echo.

cd testbench/tb_fifo_img

vsim -do "do compile.do"

gotoclean_workspace

:run3

@cls

echo Start tb_image_capture Simulation;

echo.

echo.

cd testbench/tb_image_capture

vsim -do "do compile.do"

gotoclean_workspace

:run4

@cls

echo Start tb_fifo_ddr3_write Simulation;

echo.

echo.

cd testbench/tb_fifo_ddr3_write

vsim -do "do compile.do"

gotoclean_workspace

:run5

@cls

echo Starttb_ddr3_cache Simulation;

echo.

echo.

cdtestbench/tb_ddr3_cache/mig_7series_0/example_design/sim

vsim -do"do sim.do"

gotoclean_workspace

:clean_workspace

rmdir /S /Q work

del vsim.wlf

del transcript.

:end






審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • DDR3
    +關注

    關注

    2

    文章

    271

    瀏覽量

    41849
  • 緩存器
    +關注

    關注

    0

    文章

    63

    瀏覽量

    11582
  • FIFO存儲
    +關注

    關注

    0

    文章

    103

    瀏覽量

    5903
  • MODELSIM仿真
    +關注

    關注

    0

    文章

    15

    瀏覽量

    7245
  • Verilog語言
    +關注

    關注

    0

    文章

    113

    瀏覽量

    8167

原文標題:Verilog邊碼邊學 Lesson47 圖像采集與顯示設計之DDR3緩存模塊仿真平臺構建【免費視頻】

文章出處:【微信號:FPGA快樂學習,微信公眾號:FPGA快樂學習】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    DDR3、4拓撲仿真

    DDR3DDR
    電子學習
    發布于 :2022年12月07日 22:34:02

    實驗教學仿真平臺的建設

    以下是課程設計的要求,各位大神幫幫忙唄,小弟實在不會,所以來著請教各位大神,謝謝!{:4_107:}意義:建立與本科《數字信號處理》課理論教學相配套的實驗教學仿真平臺。要求:a、 構建總體結構框架
    發表于 12-27 13:04

    DDR3內存的PCB仿真與設計

    的完整性?! ?b class='flag-5'>3仿真分析  對DDR3進行仿真分析是以結合項目進行具體說明:選用PowerPC 64位雙核CPU模塊,該
    發表于 12-15 14:17

    xilinx平臺DDR3設計教程

    看完保證你會做DDR3仿真
    發表于 09-18 14:33

    NIOS II使用DDR3同時運行程序與緩存數據

    在使用NIOS II時,需要用到一片DDR3一邊運行程序,同時還要使用該DDR3進行高速數據緩存,不明白同樣一片DDR3怎么可以一邊運行程序,一邊進行數據
    發表于 03-14 09:55

    如何自建仿真DDR3

    自建Spartan6 DDR3仿真平臺
    發表于 08-01 06:08

    xilinx平臺DDR3設計教程之仿真

    xilinx平臺DDR3設計教程之仿真
    發表于 03-12 08:54

    什么是全數字仿真平臺

    全數字仿真平臺作為工業領域不可缺少的重要軟件之一,除了可以與MATLAB或者Simulink集成外,還支持哪些優秀的功能?在了解新一代全數字仿真平臺SkyEye之前,先來學習一下什么是全數字仿真平臺
    發表于 12-17 07:48

    什么是全數字仿真平臺

    全數字仿真平臺作為工業領域不可缺少的重要軟件之一,除了可以與MATLAB或者Simulink集成外,還支持哪些優秀的功能?在了解新一代全數字仿真平臺SkyEye之前,先來學習一下什么是全數字仿真平臺
    發表于 12-21 06:42

    OBS系統仿真平臺構建及分析

    分析了光突發交換網絡核心交換節點的基本交換結構、交換控制模塊的主要功能以及核心交換模塊的構成;對光突發交換系統進行了仿真平臺構建,討論了系統仿真
    發表于 03-08 18:06 ?15次下載

    三維虛擬仿真平臺,三維虛擬仿真平臺是什么意思

    三維虛擬仿真平臺,三維虛擬仿真平臺是什么意思 1. 概述 三維虛擬仿真平臺旨在建設一個具有大范圍的海量
    發表于 04-10 11:35 ?5817次閱讀

    Quamtum-SI DDR3仿真解析

    Quamtum-SI DDR3仿真解析 Automated DDR3 Analysis  
    發表于 04-29 09:00 ?4307次閱讀
    Quamtum-SI <b class='flag-5'>DDR3</b><b class='flag-5'>仿真</b>解析

    基于硬件加速的實時仿真平臺構建技術

    基于硬件加速的實時仿真平臺構建技術_孔璐
    發表于 01-03 17:41 ?1次下載

    基于SystemC構建多核DSP軟件仿真平臺

    基于SystemC構建多核DSP軟件仿真平臺_韋祎
    發表于 01-03 17:41 ?3次下載

    簡述 Cyclone 10 GX DDR3 設計的步驟

    Cyclone 10 GX DDR3 示例設計的步驟
    的頭像 發表于 06-20 00:12 ?5819次閱讀
    簡述 Cyclone 10 GX <b class='flag-5'>DDR3</b> 設計的<b class='flag-5'>步驟</b>
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>