<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

西門子博途LAD-( JMP ):若 RLO = "1" 則跳轉

機器人及PLC自動化應用 ? 來源:機器人及PLC自動化應用 ? 2023-07-17 10:53 ? 次閱讀

可以使用“若 RLO = "1" 則跳轉”指令中斷程序的順序執行,并從其它程序段繼續執行。目標程序段必須由跳轉標簽 (LABEL) 進行標識。在指令上方的占位符指定該跳轉標簽的名稱。

指定的跳轉標簽與執行的指令必須位于同一數據塊中。指定的名稱在塊中只能出現一次。一個程度段中只能使用一個跳轉線圈。

如果該指令輸入的邏輯運算結果 (RLO) 為“1”,則將跳轉到由指定跳轉標簽標識的程序段??梢蕴D到更大或更小的程序段編號。

如果不滿足該指令輸入的條件 (RLO = 0),則程序將繼續執行下一程序段。

示例

以下示例說明了該指令的工作原理

ab43f9c0-22f3-11ee-962d-dac502259ad0.png

如果操作數“TagIn_1”的信號狀態為“1”,則執行“若 RLO = "1" 則跳轉”指令。將中斷程序的順序執行,并繼續執行由跳轉標簽 CAS1 標識的程序段 3。

如果“TagIn_3”輸入的信號狀態為“1”,則置位“TagOut_3”輸出。





審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 狀態機
    +關注

    關注

    2

    文章

    486

    瀏覽量

    27225
  • CAS
    CAS
    +關注

    關注

    0

    文章

    34

    瀏覽量

    15035

原文標題:西門子博途LAD---( JMP ):若 RLO = "1" 則跳轉

文章出處:【微信號:gh_a8b121171b08,微信公眾號:機器人及PLC自動化應用】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    如果a是一維字符數組名,語句scanf(&quot;%s&quot;, &amp;a); 是正確的對嗎

    這句話“如果a是一維字符數組名,語句scanf(&quot;%s&quot;, &amp;a); 是正確的?!笔钦_的嗎?麻煩解釋一下,謝謝??!
    發表于 05-17 06:35

    北京回收西門子組態軟件

    回收西門子變頻器SINAMICS V10系列變頻器回收西門子觸摸屏回收西門子組態軟件回收西門子
    發表于 05-27 12:16

    西門子1200碼垛機程序應用

    西門子1200碼垛機、立體倉庫程序(帶注釋),程序應用到變頻器、觸摸屏、步進電機、工業機器人、視覺相機。
    發表于 09-03 07:42

    西門子S7-200使用教程

    西門子S7-200 PLC仿真軟件超詳細使用教程西門子S7-200使用教程
    發表于 05-06 16:43 ?0次下載

    博世和西門子哪個好_博世和西門子的關系

    本文開始闡述了博世和西門子的關系以及分析了西門子和博世哪個更好,其次分析了西門子和博世的冰箱哪個更好,最后介紹了博世和西門子家電集團在中國的發展。
    的頭像 發表于 04-04 09:30 ?15.3w次閱讀

    教你如何搭建淺層神經網絡&amp;quot;Hello world&amp;quot;

    作為圖像識別與機器視覺界的 &quot;hello world!&quot; ,MNIST (&quot;Modified National Institute of Standards and Technology&
    的頭像 發表于 12-10 18:35 ?699次閱讀

    幾種IO口模擬串口&amp;quot;硬核&amp;quot;操作

    1、聊一聊 好了,今天為大家帶來幾種IO口模擬串口&quot;硬核&quot;操作,相信大家對類似于串口這樣的電平類通信會有新的認識。 2、IO模擬串口需求 &quot;IO模擬
    的頭像 發表于 02-10 11:51 ?4443次閱讀
    幾種IO口模擬串口&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;硬核&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;操作

    西門子S7-300和S7-400梯形邏輯(LAD)編程

    西門子S7-300和S7-400梯形邏輯(LAD)編程資料手冊。
    發表于 04-30 11:36 ?29次下載

    西門子變頻器的工作原理

    的頻率。 ? ? ? ?汽車上使用的由電池(直流電)產生交流電的設備也以&quot;inverter” 的名稱進行出售,西門子變頻器的工作原理被廣泛應用于各個領域。 ? 西門子變頻器的工作原理 ? ?交流電動機的同步轉速表達式:
    的頭像 發表于 08-07 19:13 ?7113次閱讀

    Cortex-M單片機中 setjmp、longjmp原理探究及實現

    用于異常處理,在運行代碼出現異常時可以自動跳轉到調用setjump函數的位置。1、實驗#include &quot;setjmp.h&quot;jmp_buf g_
    發表于 12-01 11:36 ?15次下載
    Cortex-M單片機中 setjmp、longjmp原理探究及實現

    &quot;STM32F0 Error: Flash Download failed - &quot;&quot;Cortex-M0&quot;&quot;解決&quot;

    開發工具CUbemx + Keil uVision5(MDK V5)錯誤現象在STM32F0燒錄程序是出現了Error: Flash Download failed - &amp;quot
    發表于 12-01 12:06 ?28次下載
    &<b class='flag-5'>quot</b>;STM32F0 Error: Flash Download failed  -  &<b class='flag-5'>quot</b>;&<b class='flag-5'>quot</b>;Cortex-M0&<b class='flag-5'>quot</b>;&<b class='flag-5'>quot</b>;解決&<b class='flag-5'>quot</b>;

    喜訊 | 凌科電氣榮獲國家級專精特新&amp;amp;quot;小巨人&amp;amp;quot;企業

    熱烈祝賀凌科電氣成功榮獲國家級專精特新“小巨人”企業凌科入選國家級專精特新“小巨人”企業近日,國家級專精特新&quot;小巨人&quot;企業名單公布,凌科電氣成功入選國家級專精特新&quot
    的頭像 發表于 08-26 16:33 ?466次閱讀
    喜訊 | 凌科電氣榮獲國家級專精特新&<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;小巨人&<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;企業

    芯片工藝的&amp;quot;7nm&amp;quot; 、&amp;quot;5nm&amp;quot;到底指什么?

    近幾年,芯片產業越來越火熱,一些行業內的術語大家也聽得比較多了。那么工藝節點、制程是什么,&quot;7nm&quot; 、&quot;5nm&quot;又是指什么?
    的頭像 發表于 07-28 17:34 ?5887次閱讀
    芯片工藝的&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;7nm&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>; 、&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;5nm&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;到底指什么?

    橙群微電子NanoBeacon SoC 在 &amp;amp;quot;Truly Innovative Electronics &amp;amp;quot;評選中脫穎而出

    InPlay我們的#NanoBeaconSoC產品在ElectronicsForYou的&quot;TrulyInnovativeElectronics&quot;評選中脫穎而出
    的頭像 發表于 08-18 08:32 ?408次閱讀
    橙群微電子NanoBeacon SoC 在  &<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;Truly Innovative Electronics &<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;評選中脫穎而出

    科沃斯掃地機器人通過TüV萊茵&amp;quot;防纏繞&amp;quot;和&amp;quot;高效邊角清潔&amp;quot;認證

    3月15日,在2024中國家電及消費電子博覽會(AWE)上,國際獨立第三方檢測、檢驗和認證機構德國萊茵TüV大中華區(簡稱&quot;TüV萊茵&quot;)為科沃斯兩款掃地機器人(型號:DDX14、DDX11)
    的頭像 發表于 03-17 10:49 ?416次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>