<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Vivado設計套件助力快速編譯設計并達到性能目標

Xilinx賽靈思官微 ? 來源:AMD ? 作者:AMD ? 2023-07-12 08:15 ? 次閱讀

SuhelDhanani

AMD 自適應 SoC 與 FPGA 事業部軟件營銷總監

在設計規模和復雜性不斷增長的世界里,SoC 和 FPGA 設計需要以更低功耗提供更高性能的情況將繼續在行業中存在。在 AMD,我們深知,保持領先意味著需要找到更為有效的方法,以此優化設計來實現最高性能。

AMD Vivado 設計套件是業界領先的由機器學習提供支持的電子設計自動化工具。這一高性能開發環境可為硬件開發人員及系統架構師提供系統設計、集成和實現的巨大優勢,不僅可優化設計周期,而且還能帶來更好的結果。

事實上,最新版本 Vivado 設計套件 2023.1 將 Versal 自適應 SoC的結果質量( QoR )平均提高了 8%(注釋1),將 UltraScale+ 自適應 SoC 和 FPGA 的結果質量平均提高 13%(注釋2)。很高興今天能進一步分享這款智能設計工具。

快速編譯,提高生產力

更快的編譯對于硬件開發人員高效處理復雜設計至關重要。為了加速流程的各個環節,Vivado 設計套件為綜合、布局、布線、物理優化和設計收斂提供了卓越的編譯速度。

特別是,我們還開發了一個可縮短編譯時間并減少內存開銷的獨特功能:Abstract Shell(抽象外殼)。Abstract Shell 能圍繞可重新配置分區創建僅限于最小接口的靜態設計檢查點。由于存在檢查點,因此每次迭代只編譯一小部分設計即可。換言之,AbstractShell 無需對整個設計進行重新編譯,其具有巨大的編譯時間優勢。

除此之外,借助 AbstractShell,無論團隊身處何處,都可同時進行一項設計。該功能可在無需共享專有數據的情況下向多個用戶提供應用場景,從而實現協作設計環境。這可為復雜設計帶來高生產率、快速優化以及強大的安全功能。

以更少迭代帶來優異的結果

隨著復雜性的提升,出現與性能相關的挑戰的可能性就越大。當今的硬件開發人員需要高級工具來高效解決問題并實現性能目標。

我可以很自豪地說,Vivado 設計套件是一款使用獨特機器學習算法實現智能設計運行的設計軟件。這一功能可幫助開發人員以更少的設計迭代實現更高的 QoR。

智能設計運行分為三個階段:設計優化、工具選項探索以及“最后一英里”時序收斂。簡單來說,該功能可通過自動計算設計分數(達到時序收斂的可能性)并分析影響性能的問題來節省時間,減少工作量。智能設計運行基于超過 10 萬組訓練數據從 60 多種專有定制策略中提取,可生成流程和方法指南以及基于機器學習的建議,逐步完成各個階段,直至達到性能目標。

Versal 器件的精確功耗估算

在設計周期早期,硬件開發人員需要精確估算功耗,以免浪費工作,并朝著滿足系統需求的道路邁進。

回到 Vivado 設計套件 2022.2,我們推出了新一代功耗估算工具:電源設計管理器(PowerDesignManager)。該工具針對穩定性和準確性精心構建,特別適合帶硬 IP 塊的大型器件。

電源設計管理器針對 Versal 器件的關鍵硬化 IP 提供了易于使用的界面和增強向導。此外,該工具還采用最新表征模型確保目標器件的功耗估算準確性,其可通過改善與熱能及供電有關的約束幫助平臺面向未來做好準備。

借助 Vivado 設計套件 2023.1,我們將電源設計管理器支持擴展至更多器件。這些器件目前包括 Versal HBM 系列,該系列集成了快速內存、連接安全功能以及自適應計算,有助于為內存受限的計算密集型工作負載(如機器學習、數據庫加速以及下一代防火墻等)消除處理及瓶頸問題。

下載最新 Vivado 設計套件

現在,硬件開發人員和系統架構師比以往任何時候都更需要高級設計工具來滿足大型、復雜的自適應 SoC 及 FPGA 設計的功耗及性能需求。

利用 AMD 自適應器件以及機器學習提供支持的 Vivado 設計套件,開發人員可以從一開始就通過縮短增量編譯時間、減少設計迭代次數和精確的功耗估算來優化獲得高質量結果的途徑。

歡迎進一步了解最新版本的新增功能,并下載 Vivado 設計套件。

注釋(上下滑動查看)

1.在 2023 年 3 月 26 日之前,AMD Vivado 工程團隊對使用 AMD Vivado ML 軟件工具 2023.1 版運行智能設計運行 (IDR) 模式和運行默認模式的 45 個客戶的 AMD Versal 設計進行了測試。結果反映了單次測量運行的所有設計以及平均差異和計算差異。實際結果會因具體設計、系統配置和軟件版本等因素而異。VIV-003

2.在 2023 年 4 月 14 日之前,AMD Vivado 工程團隊針對使用 AMD Vivado ML 軟件工具 2023.1 版運行智能設計運行 (IDR) 模式和運行默認模式的 50 個客戶的 AMD Virtex UltraScale+ 設計進行了測試。結果反映了單次測量運行的所有設計以及平均差異和計算差異。實際結果會因具體設計、系統配置和軟件版本等因素而異。VIV-004

2023 年超威半導體公司版權所有。保留所有權利。AMD、AMD 箭頭標識、UltraScale+、Vivado、Versal、Virtex 及其組合均是超威半導體公司的商標。


聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1606

    文章

    21344

    瀏覽量

    594182
  • amd
    amd
    +關注

    關注

    25

    文章

    5234

    瀏覽量

    132763
  • 賽靈思
    +關注

    關注

    32

    文章

    1794

    瀏覽量

    130646
  • soc
    soc
    +關注

    關注

    38

    文章

    3782

    瀏覽量

    215948
  • Xilinx
    +關注

    關注

    70

    文章

    2127

    瀏覽量

    119550
  • 開發環境
    +關注

    關注

    1

    文章

    204

    瀏覽量

    16472
  • 編譯
    +關注

    關注

    0

    文章

    622

    瀏覽量

    32440
  • Vivado
    +關注

    關注

    18

    文章

    791

    瀏覽量

    65307

原文標題:Vivado 設計套件助力快速編譯設計并達到性能目標

文章出處:【微信號:賽靈思,微信公眾號:Xilinx賽靈思官微】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    賽靈思客戶共賀Vivado 設計套件推出

    賽靈思推出的 Vivado 設計套件和 Virtex-7 FPGA,使 EVE 等標準 FPGA 仿真供應商在產品性能和功能方面全面超越定制 ASIC 仿真供應商
    發表于 04-25 09:10 ?1459次閱讀

    Vivado設計套件——可編程顛覆之作

    電子發燒友網核心提示: 賽靈思稱為可編程顛覆之作Vivado設計套件于4月25日震撼登場。Vivado是賽靈思最新推出的、面向未來十年、替換ISE的設計套件。本文主要給大家介紹
    發表于 10-18 13:43 ?2989次閱讀

    Vivado設計套件快速入門視頻輔導資料

    Vivado?? 設計套件快速入門視頻輔導資料為您提高生產力提供了實時的特定功能和流程培訓。新主題包括: . ?? 使用 ?System Generator for DSP? 和 ?IP
    發表于 02-09 02:22 ?264次閱讀

    vivado設計套件資料

    vivado設計套件資料
    發表于 10-31 09:49 ?44次下載
    <b class='flag-5'>vivado</b>設計<b class='flag-5'>套件</b>資料

    了解Vivado設計套件集成能力的九大理由分析

    理由一:突破器件密度極限:在單個器件中更快速集成更多功能;理由二:Vivado以可預測的結果提供穩健可靠的性能和低功耗;理由三:Vivado設計套件
    發表于 11-22 08:15 ?1521次閱讀

    如何讓Vivado IP Integrator和Amazon F1開發套件進行協同使用

    歡迎閱讀本快速視頻,我將解釋如何使用Vivado IP Integrator流程與Amazon F1硬件開發套件或HDK配合使用
    的頭像 發表于 11-20 06:35 ?2269次閱讀

    Vivado 2015.3中的新增量編譯功能介紹

    了解Vivado實現中2015.3中的新增量編譯功能,包括更好地處理物理優化和自動增量編譯流程。
    的頭像 發表于 11-29 06:32 ?3407次閱讀

    如何使用Vivado設計套件配合Xilinx評估板的設計

    了解如何使用Vivado設計套件的電路板感知功能快速配置和實施針對Xilinx評估板的設計。
    的頭像 發表于 11-26 06:03 ?3128次閱讀

    Vivado 2015.3的新增量編譯功能

    了解Vivado實現中2015.3中的新增量編譯功能,包括更好地處理物理優化和自動增量編譯流程。
    的頭像 發表于 11-30 19:24 ?4323次閱讀

    如何使用Vivado 開發套件創建硬件工程

    本文主要介紹如何使用Vivado 開發套件創建硬件工程。
    的頭像 發表于 02-08 10:41 ?1081次閱讀
    如何使用<b class='flag-5'>Vivado</b> 開發<b class='flag-5'>套件</b>創建硬件工程

    目標套件 S5D3(TB-S5D3) 快速入門指南

    目標套件 S5D3 (TB-S5D3) 快速入門指南
    發表于 03-15 20:05 ?0次下載
    <b class='flag-5'>目標</b>板<b class='flag-5'>套件</b> S5D3(TB-S5D3) <b class='flag-5'>快速</b>入門指南

    目標套件 S5D3(TB-S5D3) 快速入門指南

    目標套件 S5D3 (TB-S5D3) 快速入門指南
    發表于 07-06 19:14 ?0次下載
    <b class='flag-5'>目標</b>板<b class='flag-5'>套件</b> S5D3(TB-S5D3) <b class='flag-5'>快速</b>入門指南

    Vivado設計套件Tcl命令參考指南

    電子發燒友網站提供《Vivado設計套件Tcl命令參考指南.pdf》資料免費下載
    發表于 09-14 10:23 ?1次下載
    <b class='flag-5'>Vivado</b>設計<b class='flag-5'>套件</b>Tcl命令參考指南

    Vivado設計套件用戶指南

    電子發燒友網站提供《Vivado設計套件用戶指南.pdf》資料免費下載
    發表于 09-14 09:55 ?2次下載
    <b class='flag-5'>Vivado</b>設計<b class='flag-5'>套件</b>用戶指南

    Vivado設計套件用戶:使用Vivado IDE的指南

    電子發燒友網站提供《Vivado設計套件用戶:使用Vivado IDE的指南.pdf》資料免費下載
    發表于 09-13 15:25 ?6次下載
    <b class='flag-5'>Vivado</b>設計<b class='flag-5'>套件</b>用戶:使用<b class='flag-5'>Vivado</b> IDE的指南
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>