<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

易靈思RAM使用指南

XL FPGA技術交流 ? 來源:XL科技 ? 作者:XL科技 ? 2023-06-07 09:19 ? 次閱讀

易靈思RAM在使用時可以會遇到一些問題,這里把常用的問題總結下。

1、ram初始化文件路徑是工程路徑

在對ram進行初始化時需要指定文件路徑,這里要注意'/'的方向。

(1)如果文件放在工程目錄下,寫法如下:

.RAM_INIT_FILE("./ram_init_file.inithex" )

或者.RAM_INIT_FILE("ram_init_file.inithex" )

(2)如果在工程目錄下新建一個src文件。寫法如下:

.RAM_INIT_FILE("./src/ram_int_file.mem")

5d25ee24-046c-11ee-90ce-dac502259ad0.png

2、在RAM評估器中評估RAM資源

易靈思提供了RAM資源評估器,可以快速幫忙評估RAM資源的使用情況。以下為操作步驟:

(1)把路徑轉換Efinity路徑下的bin文件夾下。

(2)通過以下命令評估

5d41ef34-046c-11ee-90ce-dac502259ad0.png

efx_map_ramest --family Trion --mode area --size 10240x16

但是如果直接運行該命令會提示錯誤。

5d67789e-046c-11ee-90ce-dac502259ad0.png

因為efinity的路徑沒有寫入系統路徑,用windows 命令行的時候,需要先運行bin文件夾下的setup.bat來設置環境,然后運行命令,注意不同模式下資源使用量不同。

5d83e902-046c-11ee-90ce-dac502259ad0.png

3、大塊ram的使用

如果RAM資源使用比較多時,可能會報出以下錯誤。

5db45a10-046c-11ee-90ce-dac502259ad0.png

解決方案

在工程目錄下放置以下文件 文件名:efx_map_settings.ini

并在文件中輸入下面語句:max-bit-blast-mem-size = 524300

4)RAM使用報錯

(1)ERROR: RCLK port of EFX_RAM_5K instance u_ddr_rx_buffer/u_wr_fifo/FifoBuff__D$12 is constant

ERROR: EFX_RAM_5K instance u_ddr_rx_buffer/u_wr_fifo/FifoBuff__D$12 WCLK port is disabled, but WCLKE is not

ERROR: EFX_RAM_5K instance u_ddr_rx_buffer/u_wr_fifo/FifoBuff__D$12 WCLK port is disabled, but WE is not

ERROR: WDATA[0] port of EFX_RAM_5K instance u_ddr_rx_buffer/u_wr_fifo/FifoBuff__D$12 is not disabled in a disabled mode

ERROR: RCLK port of EFX_RAM_5K instance u_ddr_rx_buffer/u_wr_fifo/FifoBuff__D$2 is constant

ERROR: EFX_RAM_5K instance u_ddr_rx_buffer/u_wr_fifo/FifoBuff__D$2 WCLK port is disabled, but WE is not

ERROR: WDATA[0] port of EFX_RAM_5K instance u_ddr_rx_buffer/u_wr_fifo/FifoBuff__D$2 is not disabled in a disabled mode

錯誤原因:FIFO的時鐘給的是無效的。

5、RAM綜合的其它操作請參考efinity-synthesis.pdf

這里包括對使能,復位等的寫法的注意事項。

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • RAM
    RAM
    +關注

    關注

    7

    文章

    1327

    瀏覽量

    113767
  • fifo
    +關注

    關注

    3

    文章

    369

    瀏覽量

    43118
  • 時鐘
    +關注

    關注

    10

    文章

    1481

    瀏覽量

    130356
  • 命令
    +關注

    關注

    5

    文章

    638

    瀏覽量

    21856
  • 易靈思
    +關注

    關注

    5

    文章

    35

    瀏覽量

    4696

原文標題:易靈思RAM使用--2

文章出處:【微信號:gh_ea2445df5d2a,微信公眾號:FPGA及視頻處理】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    FPGA設計時序約束指南【賽工程師力作】

    的一條或多條路徑。在 FPGA 設計中主要有四種類型的時序約束:PERIOD、OFFSET IN、OFFSET OUT 以及 FROM: TO(多周期)約束。賽FPGA設計時序約束指南[hide][/hide]`
    發表于 03-01 15:08

    quartusII 詳細使用指南

    quartusII 詳細使用指南 應該有用
    發表于 04-28 09:24

    電解電容使用指南

    電解電容使用指南
    發表于 08-14 11:02

    1_Codewarrior使用指南

    1_Codewarrior使用指南
    發表于 08-28 19:54

    code warrior使用指南

    codewarrior 使用指南
    發表于 04-21 21:32

    Quartus工具使用指南(華為)

    Quartus工具使用指南(華為)
    發表于 10-09 08:54

    超級全codewarrior使用指南??!

    超級全codewarrior使用指南??!
    發表于 10-13 23:42

    Xilinx賽方案

    能做賽方案的,請聯系
    發表于 01-21 19:31

    Vivado使用指南

    Vivado使用指南
    發表于 07-18 14:27

    PWM使用指南

    日期作者版本說明2020.09.26TaoV0.0撰寫中目錄簡要介紹常用配置設置更新中斷輸出PWM脈沖捕獲輸出帶死區控制的互補PWM使用指南簡要介紹常用配置設置更新中斷輸出PWM脈沖捕獲輸出帶死區控制的互補PWM使用指南...
    發表于 08-09 08:54

    Quartus_II使用指南

    Quartus_II使用指南(非常詳細)
    發表于 05-19 15:16 ?0次下載

    FreeRTOS 使用指南

    FreeRTOS 使用指南
    發表于 10-24 13:37 ?25次下載
    FreeRTOS <b class='flag-5'>使用指南</b>

    STM32 LL庫使用指南

    STM32 LL庫使用指南
    發表于 01-23 17:31 ?224次下載

    電子票使用指南免費下載

    電子票使用指南電子票使用指南電子票使用指南電子票使用指南電子票使用指南電子票使用指南
    發表于 11-24 14:02 ?3次下載

    mast語言使用指南

    mast語言使用指南說明免費下載。
    發表于 04-16 14:42 ?23次下載
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>