<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

開始使用AXI VIP,對UVM有一些基本的了解

星星科技指導員 ? 來源:synopsys ? 作者:synopsys ? 2023-05-25 14:44 ? 次閱讀

以下是用于集成 AXI VIP 以在簡單定向環境中開始驗證 AXI 接口的步驟。這種定向測試方法也實現了良好的性能。

下面的測試平臺示例顯示了一個連接到 DUT 從機的 AXI 主 VIP。實際示例還使用 VIP 代替從屬 DUT 。

1) 導入并包含所需的 VIP 包/文件

Synopsys 的 VIP 以 SystemVerilog 包的形式提供。這些包為 VIP 定義唯一的命名空間,但為了使 VIP 更易于使用,可以將 VIP 命名空間導入到全局命名空間中。除了SystemVerilog軟件包之外,SVT VIP的某些方面(如SystemVerilog接口)作為全局文件交付,必須包含這些文件,因為它們必須同時存在于設計和測試平臺域中。

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
`include "uvm_pkg.sv"
`include "svt_axi_if.svi"
/** Include the AXI SVT UVM package */
`include "svt_axi.uvm.pkg"
module test_top;
/** Import UVM Package */
import uvm_pkg::*;
/** Import the SVT UVM Package */
import svt_uvm_pkg::*;
/** Import the AXI VIP */
import svt_axi_uvm_pkg::*;
endmodule


2) 將 VIP 接口連接到 DUT 信號

VIP提供SystemVerilog接口,提供所需的信號連接。必須聲明這些接口的實例,并且來自這些接口的信號必須連接到 DUT。在這個例子中,主(vip)和從(vip)都是背靠背連接的??梢暂p松地將所需的VIP模型替換為相應的DUT模型。

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
/** VIP Interface instance representing the AXI bus */
svt_axi_if axi_if();
assign axi_if.common_aclk = SystemClock;
/** Testbench reset */
logic tb_reset;
/**
* Assign the testbench reset to the reset pins of the VIP
* interface.
*/
assign axi_if.master_if[0].aresetn = tb_reset;
/* connection from master[0] to slave[0], connected back to back */
assign axi_if.slave_if[0].awvalid = axi_if.master_if[0].awvalid;
assign axi_if.slave_if[0].awaddr = axi_if.master_if[0].awaddr;
assign axi_if.master_if[0].arready = axi_if.slave_if[0].arready;
assign axi_if.master_if[0].rvalid = axi_if.slave_if[0].rvalid;
assign axi_if.master_if[0].rlast = axi_if.slave_if[0].rlast;
/** make rest of assignments (you can alternately choose the SystemVerilog bind approach
/** for that you can refer to “amba_svt/tb_axi_svt_uvm_intermediate_sys”
/** example from VIP installation

3) 為異議管理和 UVM 低執行創建虛擬 UVM 測試

Directed_test是一個虛擬測試,可以擴展uvm_test。這允許 UVM 階段機制執行,并使用事件 (end_test) 同步管理在程序塊中編寫的定向測試的運行階段的異議。

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
class Directed_test extends uvm_test;
/** UVM Component Utility macro */
`uvm_component_utils(Directed_test)
/** Class Constructor */
function new(string name = "Directed_test", uvm_component parent=null);
super.new(name,parent);
endfunction: new
//Objection management co-ordinated by uvm_test
virtual task run_phase(uvm_phase phase);
super.run_phase(phase);
phase.raise_objection(this);
@end_test; //this event will be triggered by directed test from initial-begin-end block
phase.drop_objection(this);
endtask
endclass

4) 實例化 VIP 組件

必須構造和配置主 VIP 代理類和從屬 VIP 代理類。初始化這些配置對象后,將使用 UVM 資源數據庫將它們發送到 UVM 層次結構中的相應代理實例。

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
initial begin
`uvm_info("Directed_test", "Entered...", UVM_MEDIUM)
master_0 = svt_axi_master_agent::type_id::create("master_0",null);
master_cfg0 = svt_axi_port_configuration::type_id::create("master_cfg0",null);
/** set required interface for agent instances */
master_cfg0.set_master_if(axi_if.master_if[0]);
/** Program agent configuration parameters */
master_cfg0.data_width = 256;
/**Pass master and slave configuration using resource database */
uvm_config_db#(svt_axi_port_configuration)::set(null, "*master_0", "cfg", master_cfg0);

5) 開始 UVM 執行

UVM run_test() 方法啟動 UVM 執行,并且它的參數用作要執行的默認測試。

1
2
3
4
/** Start the UVM execution */
fork
run_test("Directed_test");
join_none

6) 重置 DUT DUT
重置代碼必須在執行任何事務之前調用/執行。

1
2
3
4
5
6
7
8
/* Reset logic */
`uvm_info("reset_logic", "Entered...", UVM_LOW)
tb_reset = 1'b1;
repeat(10) @(posedge SystemClock);
tb_reset = 1'b0;
repeat(10) @(posedge SystemClock);
tb_reset = 1'b1;
`uvm_info("reset_logic", "Exiting...", UVM_LOW)

7) 從主站發起流量

現在,我們已準備好開始從主節點創建事務。下面的示例創建一個 WRITE 事務,設置所有必填字段,并使用 execute_item() 方法將其發送到 VIP 主驅動程序。

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
/* Create and Send atomic transaction */
`uvm_info("atomic_transation", "Entered...", UVM_MEDIUM)
begin
svt_axi_master_transaction axi_trans;
axi_trans = new();
axi_trans.port_cfg = cfg.master_cfg[0];
axi_trans.xact_type = svt_axi_transaction::WRITE;
axi_trans.addr = 32'h0000_0000;
axi_trans.burst_type = svt_axi_transaction::INCR;
axi_trans.burst_size = svt_axi_transaction::BURST_SIZE_32BIT;
axi_trans.atomic_type = svt_axi_transaction::NORMAL;
axi_trans.burst_length = 16;
axi_trans.data = new[axi_trans.burst_length];
axi_trans.wstrb = new[axi_trans.burst_length];
/** Send the atomic write transaction */
master_0.sequencer.execute_item(axi_trans); //send axi transaction to driver
`uvm_info("atomic_transation", "Ended...", UVM_MEDIUM)
end

8 ) 觸發測試結束

end_test事件用于使 run_phase() 引發的異議能夠刪除。這表示運行階段結束,其余的 UVM 階段將在運行階段完成后執行。這表示測試結束。

1
2
3
4
//Trigger uvm end of test
end_test;
`uvm_info("Directed_test", "Exited...", UVM_MEDIUM)
end

審核編輯:郭婷

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 接口
    +關注

    關注

    33

    文章

    7653

    瀏覽量

    148573
  • Synopsys
    +關注

    關注

    2

    文章

    154

    瀏覽量

    89876
  • DUT
    DUT
    +關注

    關注

    0

    文章

    182

    瀏覽量

    12001
收藏 人收藏

    評論

    相關推薦

    AXI VIP設計示例 AXI接口傳輸分析

    賽靈思 AXI Verification IP (AXI VIP) 是支持用戶對 AXI4 和 AXI4-Lite 進行仿真的 IP。它還可
    發表于 07-08 09:24 ?1343次閱讀

    如何將AXI VIP添加到Vivado工程中

    在這篇新博文中,我們來聊一聊如何將 AXI VIP 添加到 Vivado 工程中,并對 AXI4-Lite 接口進行仿真。隨后,我們將在仿真波形窗口中講解用于AXI4-Lite 傳輸事
    發表于 07-08 09:27 ?1726次閱讀

    如何使用AXI VIPAXI4(Full)主接口中執行驗證和查找錯誤

    AXI 基礎第 2 講 一文中,曾提到賽靈思 Verification IP (AXI VIP) 可用作為 AXI 協議檢查工具。在本次第4講中,我們將來
    發表于 07-08 09:31 ?2094次閱讀

    AXI VIP當作master時如何使用

    AXI VIP當作master時如何使用。 ??新建Vivado工程,并新建block design,命名為:axi_demo 新建axi vip
    的頭像 發表于 07-27 09:19 ?692次閱讀
    <b class='flag-5'>AXI</b> <b class='flag-5'>VIP</b>當作master時如何使用

    如何下載Webpack版本并開始使用

    。技術支持電話號碼,是個笑話,因為它只是簡單地引導你回到wek站點,我無法打開支持票...因為我的帳戶導致出口檢查失敗。在最后小時追逐我的尾巴。如何下載Webpack版本(我相信我資格使用)并
    發表于 05-27 14:19

    XPS中用“axi-pcie 1.08a”和其他一些露水創建項目不起作用的原因?

    大家好!對不起我的英語:)。我想開始使用PCIe。我kc705。我在XPS中用“axi-pcie 1.08a”和其他一些露水創建了個項目
    發表于 07-14 16:19

    UVM REG中一些常用操作的實現

    UVM REG Model入門
    發表于 01-04 07:25

    了解MOSFET的一些原理

    電機的驅動,如下圖1所示,要做好驅動電路,必須得了解清楚MOSFET的一些原理,才不會出錯。圖1 H橋全橋驅
    發表于 09-13 08:14

    如何使用Xilinx AXI VIP對自己的設計搭建仿真驗證環境的方法

    接口進行仿真驗證),提前規避和發現一些不滿足AXI總線規范的設計問題。本文就跟大家分享如何使用Xilinx AXI VIP對自己的設計搭建仿真驗證環境的方法。本文參考的Xilinx官方
    發表于 10-09 16:08

    是否任何關于如何開始使用ESP8266開發板的教程?

    是否任何關于如何開始使用 ESP8266 開發板的教程?我剛收到郵件中的第個 NodeMCU 和個 Wemos D1,發現它們實際上不
    發表于 06-12 07:43

    使用AXI VIP的幾個關鍵步驟及常見功能

    接口進行仿真驗證),提前規避和發現一些不滿足AXI總線規范的設計問題。本文就跟大家分享如何使用Xilinx AXI VIP對自己的設計搭建仿真驗證環境的方法。
    的頭像 發表于 10-08 16:07 ?4115次閱讀

    一些高質量的AMBA(APB/AHB/AXI) VIP分享

    關于VIP的好處,估計就不用我安利了,引用最近S家的一句廣告語,“擁有VIP,無懼芯片設計挑戰”。而在當今的芯片領域,用的最多的可能還是標準總線APB/AHB/AXI等。提到VIP,估
    的頭像 發表于 12-06 14:58 ?1174次閱讀

    記錄最近Debug AMBA VIP的兩次經歷

    _ready_delay )調的特別高時,或者是隨機到比較大的數值時,C家的VIP就會報下面的UVM_WARNING [ CDN_AXI_NONFATAL_WARN_EOS_QUEUE_IS_NOT_EMPTY
    的頭像 發表于 12-10 16:15 ?1541次閱讀
    記錄最近Debug AMBA <b class='flag-5'>VIP</b>的兩次經歷

    簡化UVM寄存器模型的使用

    當我開始使用 UVM RAL 時,我無法理解 UVM 基類庫對更新所需值和鏡像值寄存器的值有什么看法。我還認為,所使用的術語沒有準確反映其意圖?;?b class='flag-5'>一些時間后,我想出了一個表,幫助我
    的頭像 發表于 05-29 10:15 ?757次閱讀
    簡化<b class='flag-5'>UVM</b>寄存器模型的使用

    AXI VIP當作master時如何使用?

    ?AXI接口雖然經常使用,很多同學可能并不清楚Vivado里面也集成了AXI的Verification IP,可以當做AXI的master、pass through和slave,本次內容我們看下
    的頭像 發表于 07-27 09:16 ?957次閱讀
    <b class='flag-5'>AXI</b> <b class='flag-5'>VIP</b>當作master時如何使用?
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>