<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

個性化地定制自己的uvm代碼生成器模板和腳本

芯片驗證工程師 ? 來源:芯片驗證工程師 ? 2023-05-14 16:51 ? 次閱讀

在項目上使用uvm代碼自動生成可以提高驗證環境搭建的效率,你可以:

使用uvm代碼生成器創建基本的uvm驗證環境框架,然后丟棄代碼生成器模板并擴展和維護生成出來的代碼。盡管uvm代碼生成器僅在項目的初始階段使用,然后被丟棄,但仍然提高了驗證的效率。

團隊所有成員的驗證環境結構獲得了統一,方便了集成和檢視。

根據項目的特定需求,個性化地定制自己的uvm代碼生成器模板和腳本。

示例

要運行uvm代碼生成器,至少需要三個template 文件:

clkndata.tpl    Interface templatefile
common.tpl      Commontemplatefile
pinlist         Pinlistfile

定義 DUT的文件

mydut/mydut.sv  SystemVerilog sourcefilefor the DUT

兩個腳本,一個用于運行uvm代碼生成器,另一個用于運行仿真(為了避免記住命令行及其參數)。

gen Scripttorunthecodegenerator
run Scripttorunthe simulator

下面是這個示例中使用的 DUT 文件:

mydut/mydut.sv

module mydut (input clk, input byte data);
  always @(posedge clk)
    $display("mydut data = %h", data);
endmodule

Interface template file

我們需要的第一個模板文件是The first template file。此文件表征一個 DUT的接口,指定一些變量名稱,諸如UVM transaction中的變量和 SystemVerilog 接口中的變量。

clkndata.tpl

agent_name= clkndata
trans_item =data_tx
trans_var  = rand byte data;


if_port= logic clk;
if_port= byte data;
if_clock= clk

其中變量的含義,見名知意。

agent_nameclkndata將用作生成的許多文件名前綴,例如clkndata_agent、clkndata_if、clkndata_pkg 和 clkndata_config。

實際上,每個 DUT 接口都有一個這樣的template file,每個template file都包含唯一的agent名稱。

trans_itemdata_tx將用作類名,該類擴展uvm_sequence_item以定義生成的agent中使用事務transaction,即從sequener發送到driver和通過monitor的analysis port發送的transaction。

trans_var “=”后面的rand byta data將包含在生成的transaction類中。注意行尾的“;”:這很重要,因為它將被復制到生成的代碼中。另外,如果希望變量隨機化,請記住包含 rand 關鍵字。通常會有多個trans_var行。

if_port“=”后面的logic clk;和if_port= bytedata;將包含在生成的 SystemVerilog interface中。同樣,請注意行尾的“;”。即使是最簡單的例子,我們也需要一個時鐘和一個數據信號。最后,if_clock挑選出其中一個interface變量作為時鐘信號。作為if_clock指定的名稱必須是同一template文件中if_ports之一。

Common template file

我們需要的第二個 template file是Common template file,必須命名為 common.tpl。uvm代碼生成器始終需要一個common.tpl 文件,該文件指定了一些常用設置。只有一個設置是強制的,即dut_top,有大量可選設置可讓你對生成的代碼進行控制。許多設置具有合理的默認值,因此你可以在開始時忽略這些設置。

common.tpl

dut_top= mydut

dut_top指定DUT top module的名稱,在本例中為 mydut。DUT 源文件必須放置在名為 ./dut 的目錄中,或者你可以使用common.tpl文件設置dut_source_path選擇其他目錄名稱,也可以在名為 files.f 的單個文件中手動列出文件的位置。

Filename pinlist

第三個template文件是Pinlist file,它指定 DUT 的端口(在 DUT 的 SystemVerilog 代碼中指定)和 DUT 接口的變量(在Interface template file

中指定)之間的連接。

Pinlist file

!clkndata_if
clkclk
data data

Pinlist file分為多個部分,每個 DUT 接口一個部分,每個部分以感嘆號 “!” 開頭,后跟接口名稱,該名稱始終是agent名稱加上后綴_if。在這個示例中,只有一個agent clkndata,因此相應的接口名稱為 clkndata_if。其余的每一行都包含 DUT top module的端口名稱,后跟相應 DUT 接口中的變量名稱。

也可以使用Pinlist file連接不屬于任何特定interface的 DUT 端口,例如:

!
clock_port global_clock_var
reset_port global_reset_var

運行uvm代碼生成器

在提供了三個template文件(clkndata.tpl,common.tpl,pinlist)和DUT文件(mydut.sv)之后,我們現在可以為這個示例運行uvm代碼生成器。我們將命令行放在單行腳本文件中:

perl ../easier_uvm_gen.pl clkndata.tpl

Common template file的名稱默認為 common.tpl(但可以使用 -m 開關從命令行進行設置?,F在我們可以運行uvm代碼生成器:

94cb3c28-f22c-11ed-90ce-dac502259ad0.png

生成的結構如下所示。弄清楚代碼生成器到底是做什么的最好方法是自己運行它!

top_tb (module)
 ? top_th (module instance)
   ? clkndata_if (interface instance)
     mydut (module instance)
   top_config (class uvm_object)


 ? top_test (object, class uvm_test)
    ? top_env (uvm_env)
       ? clkndata_config (uvm_object)
         clkndata_agent (uvm_agent)
          ? clkndata_sequencer
            clkndata_driver (uvm_driver)
            clkndata_monitor (uvm_monitor)
         clkndata_coverage (uvm_subscriber)


       ? top_default_seq (created in run_phase, class uvm_sequence)
          ? clkndata_default_seq (uvm_sequence)
             ? data_tx (uvm_sequence_item)

?

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • UVM
    UVM
    +關注

    關注

    0

    文章

    181

    瀏覽量

    19014
  • 代碼
    +關注

    關注

    30

    文章

    4589

    瀏覽量

    67222
  • 生成器
    +關注

    關注

    7

    文章

    306

    瀏覽量

    20530
  • 代碼生成器
    +關注

    關注

    0

    文章

    25

    瀏覽量

    9015
  • 環境搭建
    +關注

    關注

    0

    文章

    51

    瀏覽量

    8994

原文標題:Easier UVM Code Generator Part 1: 入門

文章出處:【微信號:芯片驗證工程師,微信公眾號:芯片驗證工程師】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    基于STRAIGHT算法的個性化語音生成方法

    算法對相關參數進行修改;通過STRAIGHT合成器生成新的個性化的語音。實驗結果表明,該方法可以得到高質量的個性化合成語音,MOS得分為4.18分?!娟P鍵詞】:語音合成;;STRAIG
    發表于 04-23 11:28

    AllJoyn C++代碼生成器

    AllJoyn 團隊非常激動地向廣大開發商宣布,一款新工具已經問世——代碼生成器。如果您擁有定義的 AllJoyn 服務接口規范,即可通過運行此代碼生成器,為您的服務端和客戶端
    發表于 09-19 18:11

    python生成器

    了,但是函數并沒有結束請看如下代碼,我定義了一個 generator_factory 函數,當我執行 gen = generator_factory() 時,gen 就是一個生成器對象>>>
    發表于 02-24 15:56

    利用LabVIEW代碼生成器簡化應用項目

    利用LabVIEW代碼生成器簡化應用項目
    發表于 02-26 14:01 ?24次下載
    利用LabVIEW<b class='flag-5'>代碼</b><b class='flag-5'>生成器</b>簡化應用項目

    LED段碼生成器

    本文提供的LED段碼生成器,希望對你的學習有所幫助!
    發表于 06-03 15:19 ?96次下載

    代碼生成器的應用

    jeesite框架代碼生成器,可以很方便的生成代碼,挺不錯的。
    發表于 01-14 15:19 ?0次下載

    數碼管代碼生成器

    數碼管代碼生成器,迅速生成數碼管的相應代碼
    發表于 04-25 10:54 ?40次下載

    STM32庫函數代碼自動生成器V1.2

    stm32庫函數代碼自動生成器是STM32學習的小工具。 stm32庫函數代碼自動生成器可以根據你的配置生成相應的庫函數
    發表于 06-17 17:55 ?0次下載

    STM32庫函數代碼自動生成器正式版

    STM32庫函數代碼自動生成器正式版,感興趣的可以看看。
    發表于 07-13 16:55 ?0次下載

    STM32庫函數代碼自動生成器正式版

    STM32庫函數代碼自動生成器正式版 STM32庫函數代碼自動生成器正式版
    發表于 07-25 18:52 ?0次下載

    基于UVM代碼生成器的開發設計

    不一相互矛盾的UVM代碼。對于這些問題UVM 代碼生成器基本都可以解決,更為關鍵的是,這對于項目的開發效率提高也是一件極有意義的事情。 簡單
    發表于 09-15 17:18 ?22次下載
    基于<b class='flag-5'>UVM</b>的<b class='flag-5'>代碼</b><b class='flag-5'>生成器</b>的開發設計

    python生成器是什么

    python生成器 1. 什么是生成器? 生成器(英文名 Generator ),是一個可以像迭代器那樣使用for循環來獲取元素的函數。 生成器的出現(Python 2.2 +),實現
    的頭像 發表于 02-24 15:53 ?3198次閱讀

    如何擴展自定義功能塊,以及代碼生成器的用法

    被動代碼生成器 目標代碼生成之后,需要進行修改和完善,然后獨立發展和維護,與代碼生成器再與關系。
    的頭像 發表于 11-02 13:26 ?885次閱讀

    將使用代碼生成器生成的項目移植到與智能配置器一起使用的項目

    將使用代碼生成器生成的項目移植到與智能配置器一起使用的項目
    發表于 01-11 19:00 ?0次下載
    將使用<b class='flag-5'>代碼</b><b class='flag-5'>生成器</b><b class='flag-5'>生成</b>的項目移植到與智能配置器一起使用的項目

    Easier UVM Code Generator Part 1: 運行仿真

    在運行uvm代碼生成器后,我們現在可以開始運行仿真。同樣,我們將命令行放入腳本文件中
    的頭像 發表于 05-19 09:18 ?517次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>