<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

被卡脖子的半導體設備(萬字深度報告)

jf_BPGiaoE5 ? 來源:光刻人的世界 ? 2023-03-25 09:32 ? 次閱讀

半導體設備:

半導體設備分為前道制造設備以及后道封測設備。其中,前道設備主要包括光刻設備、刻蝕設備、薄膜沉積設備、離子注入設備、清洗設備、機械拋光設備以及擴散設備。而后道測試設備主要包括分選機、測試機、劃片機、貼片機等。從市場規模來看,前道晶圓制造設備的市場規模占整個設備市場規模的80%以上。

bbeaef02-caa8-11ed-bfe3-dac502259ad0.png

***:

光刻是將設計好的電路圖從掩膜版轉印到晶圓表面的光刻膠上,通過曝光、顯影將目標圖形印刻到特定材料上的技術。光刻工藝包括三個核心流程:涂膠、對準和曝光以及光刻膠顯影,整個過程涉及***,涂膠顯影機、量測設備以及清洗設備等多種核心設備,其中價值量最大且技術壁壘最高的部分就是***。

bc06899c-caa8-11ed-bfe3-dac502259ad0.png

***由光源波長進行區分可以分為可見光(g-line),紫外光(i-line),深紫外光(KrF、ArF)以及極紫外(EUV)幾大類,當前最先進的3nm制程只能通過EUV***才能實現。

bc27213e-caa8-11ed-bfe3-dac502259ad0.png

***競爭格局:

目前全球***市場幾乎由ASML、尼康和佳能三家廠商壟斷,其中又以ASML一家獨大。2021年ASML占比65%,出貨量達到309臺(全球總共約500臺),力壓尼康和佳能,其中EUV/ArFi/ArF高端***占比分別為100%/95.3%/88%。EUV***單價超過1億歐元,全球僅有ASML可提供。

bc3ea50c-caa8-11ed-bfe3-dac502259ad0.png

目前國內具備***生產能力的企業主要是上海微電子裝備有限公司,有SSX600和SSB500兩個系列,其中SSX600系列主要應用于IC前道光刻工藝,可滿足IC前道制造90nm、110nm、280nm關鍵層和非關鍵層的光刻工藝需求;SSB500系列***主要應用于IC后道先進封裝工藝。

bc54508c-caa8-11ed-bfe3-dac502259ad0.png

上海微電子與ASML在***領域的差距客觀反映中國和西方在精密制造領域差距,超高端***關鍵零部件來自不同西方發達國家,來自美國光源,德國鏡頭和法國閥件等,所有核心零部件皆對中國禁運。

刻蝕設備:

刻蝕是用化學、物理、化學物理結合的方法有選擇的去除(光刻膠)開口下方的材料。

bc767720-caa8-11ed-bfe3-dac502259ad0.jpg

刻蝕設備按照刻刻蝕方式可以分為濕法刻蝕和干法刻蝕,但是濕法刻蝕由于刻蝕的精度較低,在制程不斷微縮的情境下,逐漸被干法刻蝕取代,在部分制程要求不太精密的芯片上在使用濕法刻蝕。

刻蝕設備競爭格局:

刻蝕設備主要由美國泛林半導體、日本東京電子以及美國應用材料三家占據領先地位,2020年三家市場份額合計占比近9成。目前國內有中微公司和北方華創兩家刻蝕設備供應商。

bc88e0e0-caa8-11ed-bfe3-dac502259ad0.png

國內刻蝕龍頭企業的部分技術已達到國際一流水平。在目前廣泛使用的高密度等離子刻蝕設備上,中微公司的ICP和CCP刻蝕設備與泛林集團DRIE刻蝕設備的刻蝕效果相當。同時,中微公司的介質刻蝕已經進入臺積電7nm/5nm產線,是唯一一家進入臺積電產線的國產刻蝕設備生產商。北方華創在ICP刻蝕領域優勢顯著,已量產28nm制程以上的刻蝕設備,同時已經突破14nm技術,并進入中芯國際 14nm產線驗證階段。

bca140d6-caa8-11ed-bfe3-dac502259ad0.png

截至2020年12月,長江存儲共累計招標348臺刻蝕設備,其中美國廠商Lam Research占據超過一半的采購量,達187臺;而國內廠商中微公司、北方華創、屹唐股份分別中標50臺、18 臺、13臺,國產化率高達23.85%。以華虹六廠設備招中標情況為例,截至2020年12月,華虹六廠共累計招標81臺刻蝕設備,其中Lam Research依舊占據超過一半的采購量,達45臺;國內廠商中微半導體、北方華創分別中標15 臺、1臺,國產化率約為19.75%。

bcc01cea-caa8-11ed-bfe3-dac502259ad0.png

薄膜沉積設備:

薄膜沉積技術用于制造微電子器件上的薄膜,主要是通過物理或化學方法,將適當化學反應源激活,并將由此形成的離子原子等吸附聚集在襯底表面,從而在襯底之上形成一層薄薄的膜,比如二氧化硅薄膜,多晶硅薄膜,金屬薄膜等。這些薄膜輔助構成了制作集成電路的功能材料層。

bcdc520c-caa8-11ed-bfe3-dac502259ad0.png

集成電路薄膜沉積可分為物理氣相沉積(PVD)、化學氣相沉積(CVD)和其他。薄膜沉積工藝不斷發展,根據不同的應用演化出了PECVD、濺射PVD、ALD、LPCVD等不同的設備用于晶圓制造的不同工藝。

全球薄膜沉積設備中CVD類設備占比最高,2020年占比64%,濺射PVD設備占比 21%。CVD設備中,PECVD是主流的設備類型,2020年在CVD設備中占比 53%,其次為ALD設備,占比20%。

bcf770d2-caa8-11ed-bfe3-dac502259ad0.png

薄膜沉積設備競爭格局:

全球薄膜沉積設備市場由應用材料(AMAT)、泛林半導體(Lam Research)、東京電子(TEL)和先晶半導體(ASM)等國際巨頭公司壟斷。

bd1a9bfc-caa8-11ed-bfe3-dac502259ad0.png

國內從事CVD設備開發銷售的公司主要有北方華創、中微公司和拓荊科技。北方華創主要研發PVD、LPCVD和APCVD設備,中微公司主要研發MOCVD設備。拓荊科技主要是PECVD ,ALD以及SACVD設備。

拓荊科技的產品已適配國內最先進的28/14nm邏輯芯片、19/17nm DRAM芯片和64/128層3D NAND FLASH晶圓制造產線,2.5D、3D先進封裝及其他泛半導體領域。

拓荊科技 PECVD設備年產50臺,其它設備平均年產2臺。

bd3dd612-caa8-11ed-bfe3-dac502259ad0.png

薄膜沉積設備國產化率估計僅5.5%(按設備數量口徑)。2020年1月1日以來國內部分主要晶圓制造產線的薄膜沉積設備招標情況,6家廠商共招標薄膜沉積設備1060臺(僅PVD和CVD類設備),國內廠商中標58臺,其中拓荊科技中標40臺(主要為PECVD設備),國內市占率為3.8%;北方華創中標18臺(主要為 PVD 設備),國內市占率1.7%。

bd53dbec-caa8-11ed-bfe3-dac502259ad0.jpg

薄膜沉積設備主要原材料依賴進口。

清洗設備:

清洗是晶圓加工制造過程中的重要一環,為了最大限度降低雜質對芯片良率的影響,硅片在進入每道工序之前表面必須是潔凈的,需經過重復多次的清洗步驟,除去表面的污染物。

bd62486c-caa8-11ed-bfe3-dac502259ad0.png

bd8c6eda-caa8-11ed-bfe3-dac502259ad0.png

根據清洗的介質不同,清洗技術可以分為濕法清洗和干法清洗兩種。晶圓制造產線上通常以濕法清洗為主,是目前市場上的主流清洗方法。

在濕法清洗的技術路線下,清洗設備可以分為單片清洗設備、槽式清洗設備、批式旋轉噴淋清洗設備和洗刷器等。

bda0aa80-caa8-11ed-bfe3-dac502259ad0.png

從結構來看,單片清洗設備是目前市場的絕對主流,隨著集成電路特征尺寸的進一步縮小,單片清洗設備在40nm以下的制程中的應用會更加廣泛,未來的占比有望逐步上升。

bdbe05e4-caa8-11ed-bfe3-dac502259ad0.png

清洗設備競爭格局:

全球半導體清洗設備行業的龍頭企業主要是迪恩士(Dainippon Screen)、東京電子(TEL)、韓國SEMES、拉姆研究(Lam Research)等等。其中,迪恩士處于絕對領先地位,2020年占據了全球半導體清洗設備45.1%的市場份額,東京電子、SEMES和拉姆研究分別占據約25.3%、14.8%和12.5%。

bdcf89c2-caa8-11ed-bfe3-dac502259ad0.png

國內的清洗設備領域主要有盛美半導體(年產40臺)、北方華創、芯源微、至純科技。其中,盛美半導體主要產品為集成電路領域的單片清洗設備和單片槽式組合清洗設備;北方華創收購美國半導體設備生產商Akrion Systems LLC之后主要產品為單片及槽式清洗設備;芯源微產品主要應用于集成電路制造領域的單片式刷洗領域;至純科技具備生產8-12英寸高階單晶圓濕法清洗設備和槽式濕法清洗設備的相關技術。

根據中國國際招標網信息,從2019 年~2021年H1中國主流晶圓廠清洗設備招標采購份額來看,我國半導體清洗設備的國產化率已經維持在10%~20%。

bde66fc0-caa8-11ed-bfe3-dac502259ad0.png

清洗設備上游原材料:

主要包括氣路類、物料傳送類、機械類、電氣類等。

bdff99fa-caa8-11ed-bfe3-dac502259ad0.png

盛美上海原材料供應商風險:

Product Systems,Inc.為公司單片清洗設備中關鍵零部件兆聲波發生器的唯一供應商;NINEBELL為公司單片清洗設備中傳送系統中機器人手臂的主要供應商;Advanced Electric Co.,Inc.為公司單片清洗設備中閥門的關鍵供應商。

離子注入設備:

離子注入是通過對半導體材料表面進行某種元素的離子注入摻雜,從而改變其特性的摻雜工藝制程。通過離子注入機的加速和引導,將要摻雜的離子以離子束形式入射到材料中去,離子束與材料中的原子或分子發生一系列理化反應,入射離子逐漸損失能量,并引起材料表面成分結構和性能發生變化,最后停留在材料中,實現對材料表面性能的優化或改變。

be245d80-caa8-11ed-bfe3-dac502259ad0.png

根據離子束電流和束流能量范圍,離子注入機可分為三大類:中低束流離子注入機、低能大束流離子注入機、高能離子注入機。

be44af54-caa8-11ed-bfe3-dac502259ad0.png

be5d28ae-caa8-11ed-bfe3-dac502259ad0.png

離子注入機競爭格局:

美國應用材料公司、Axcelis占據全球大部分市場份額,其中美國應用材料公司在離子注入機產品上的市占率達到70%。

be6d6278-caa8-11ed-bfe3-dac502259ad0.png

國內離子注入機也基本上被應用材料、Axcelis 和日本Sumitomo壟斷,僅有萬業企業旗下的凱世通、中科信(年產能30臺)在某些12寸晶圓產線上獲得工藝驗證驗證并驗收通過。

be8236e4-caa8-11ed-bfe3-dac502259ad0.png

涂膠顯影設備:

涂膠/顯影機作為***的輸入(曝光前光刻膠涂覆)和輸出(曝光后圖形的顯影),主要通過機械手使晶圓在各系統之間傳輸和處理,從而完成晶圓的光刻膠涂覆、固化、顯影、堅膜等工藝過程。其直接影響到光刻工序細微曝光圖案的形成,從而影響后續蝕刻和離子注入等工藝中圖形轉移的結果,因而涂膠顯影設備是集成電路制造過程中不可或缺的關鍵處理設備。

be98d89a-caa8-11ed-bfe3-dac502259ad0.png

涂膠顯影設備競爭格局:

2019年東京電子占據涂膠顯影設備87%市場份額。國內市場來看,東京電子占據國內市場91%市場份額,DNS占據 5%市場份額,國內僅芯源微占據4%市場份額,國產替代空間十分廣闊。

bec60fa4-caa8-11ed-bfe3-dac502259ad0.png

芯源微(21年產量219臺)(28nm)為前道涂膠顯影設備國內目前唯一供應商,持續技術升級,替代路徑清晰。公司目前產品可覆蓋 PI、Barc、SOC、SOD、I-line、KrF、ArF 等工藝,ArFi(浸沒式 ArF)工藝設備也正在研發驗證過程中。由于目前國內暫無EUV光刻設備,EUV工藝涂膠顯影設備國內暫無需求。

bed07d04-caa8-11ed-bfe3-dac502259ad0.png

去膠設備:

去膠即刻蝕或離子注入完成之后去除殘余光刻膠的過程。去膠工藝類似于刻蝕,操作對象是光刻膠。去膠工藝可分為濕法去膠和干法去膠,濕法去膠工藝使用溶劑對光刻膠等進行溶解,干法去膠工藝可視為等離子刻蝕技術的延伸,主要通過等離子體和薄膜材料的化學反應完成,目前主流工藝是干法去膠。

beea8e1a-caa8-11ed-bfe3-dac502259ad0.png

去膠設備競爭格局:

全球干法去膠設備領域呈現多寡頭競爭的發展趨勢,前五大廠商的市場份額合計超過90%。屹唐半導體(20年產量153臺)市占率位居全球第一,已全面覆蓋全球前十大芯片制造商和國內行業領先芯片制造商,可用于90nm-5nm邏輯芯片、1y到2x納米系列DRAM芯片以及32層到128層3D閃存芯片制造中若干關鍵步驟的大規模量產。

bf0460e2-caa8-11ed-bfe3-dac502259ad0.jpg

屹唐半導體風險:90%以上原材料依賴進口。

CMP拋光設備:

CMP技術即化學機械拋光,通過化學腐蝕與機械研磨的協同配合作用,實現晶圓表面多余材料的高效去除與全局納米級平坦化。其工作過程是:拋光頭將晶圓抵在粗糙的拋光墊上,借助拋光液腐蝕、微粒摩擦、拋光墊摩擦等耦合實現全局平坦化,拋光盤帶動拋光墊旋轉,通過先進的終點檢測系統對不同材質和厚度的磨蹭實現3-10nm分辨率的實時厚度測量防止過拋。

bf30c132-caa8-11ed-bfe3-dac502259ad0.png

拋光設備競爭格局:

目前全球CMP設備市場處于高度集中狀態,主要由美國應用材料和日本荏原兩家設備制造商占據,合計擁有超過90%的市場份額。

國內CMP設備的主要研發生產單位有華海清科(28nm,21年12英寸產能87臺,8英寸產能6臺)和北京爍科精微電子裝備有限公司,其中華海清科是國產12英寸和8英寸CMP設備的主要供應商,是目前國內唯一實現了12英寸CMP設備量產銷售的半導體設備供應商,相較于國內其他廠商(如北京爍科精微)具有明顯的行業領先地位。

bf58c600-caa8-11ed-bfe3-dac502259ad0.png

檢測設備:

半導體檢測設備主要用于半導體制造過程中檢測芯片性能與缺陷,幾乎每一步主要工藝完成后都需要在整個生產過程中進行實時的監測,以確保產品質量的可控性,貫穿于半導體生產過程中,對保證產品質量起到關鍵性的作用。

廣義上根據測試環節分為前道測試和后道測試設備。

前道量檢測包括量測類和缺陷檢測類,主要用于晶圓加工環節,目的是檢查每一步制造工藝后晶圓產品的加工參數是否達到設計的要求或者存在影響良率的缺陷,屬于物理性檢測。

后道測試設備用于晶圓加工前的設計驗證環節和晶圓加工后的封測環節,通過測試機和分選機或探針臺配合使用,分析測試數據,確定具體失效原因,并改進設計及生產、封測工藝,以提高良率及產品質量,屬于電性能的檢測。

bf70653a-caa8-11ed-bfe3-dac502259ad0.png

前道檢測設備:

前道量測設備進一步細分為量測設備、缺陷檢測設備以及過程控制軟件,其中缺陷檢測設備約占前道檢測設備的55%,量測設備占前道量測設備的34%,過程控制軟件占11%。進一步按產品細分,膜厚測量占比12%、OCD-SEM測量占比 10%,CD-SEM占比 11%、套刻誤差測量占比9%;缺陷檢測中有圖形晶圓檢測占比32%、無圖形晶圓檢測占比5%、電子束檢測占比12%、宏觀缺陷檢測占比6%。

bf8d2990-caa8-11ed-bfe3-dac502259ad0.png

量測類設備:主要用來測量透明薄膜厚度、不透明薄膜厚度、膜應力、摻雜濃度、關鍵尺寸、套準精度等指標,以保證工藝的關鍵物理參數滿足工藝指標,對應的設備分為橢偏儀、四探針、原子力顯微鏡、CD-SEM、OCD-SEM、薄膜量測等。

bfa753f6-caa8-11ed-bfe3-dac502259ad0.png

缺陷檢測類設備:用來檢測晶圓表面的缺陷,并獲取缺陷的位置坐標(X,Y);分為明/暗場光學圖形圖片缺陷檢測設備、無圖形表面檢測設備、宏觀缺陷檢測設備等。

bfca39b6-caa8-11ed-bfe3-dac502259ad0.png

前道檢測設備競爭格局:

前道檢測設備領域,科磊獨占52%的份額,應用材料、日立高新則分別占比12%、11%,CR3合計占比接近80%,市場集中度較高,且基本被海外公司所壟斷,國內企業市場份額不足1%。其中科磊在晶圓形貌檢測、無圖形晶圓檢測、有圖形晶圓檢測領域市占率分別達到 85%、78%、72%,應用材料產品則主要為掩模版測量及電子束檢測,日立高新則在 CD-SEM 領域市占率較高。

bff611c6-caa8-11ed-bfe3-dac502259ad0.png

國內布局該領域的公司分別有上海睿勵、上海精測和中科飛測。目前,上海睿勵的薄膜測量設備成功進入三星和長江存儲生產線;中科飛測的晶圓表面顆粒檢測機成功進入中芯國際生產線,智能視覺檢測系統成功進入長江存儲生產線,橢偏膜厚量測儀進入士蘭微生產線;上海精測(22年1-9月檢測設備產量295臺)的膜厚測量設備已經成功小批量生產并進入長江存儲生產線,OCD量測設備已取得訂單并已實現交付,首臺半導體電子束檢測設備eViewTM全自動晶圓缺陷復查設備已正式交付國內客戶。

c01bc89e-caa8-11ed-bfe3-dac502259ad0.png

精測電子檢測設備:

c02f72d6-caa8-11ed-bfe3-dac502259ad0.png

后道測試設備:

集成電路(后道)測試核心設備包括測試機、分選機、探針臺3種,測試機負責檢測性能,后兩者主要實現被測晶圓/芯片與測試機功能模塊的連接。

c06fe6d6-caa8-11ed-bfe3-dac502259ad0.png

從結構來看,測試設備中,測試機在CP、FT兩個環節皆有應用,而分選機和探針臺分辨僅在設計驗證和成品測試環節及晶圓檢測環節與測試機配合使用,且測試機研發難度大、單機價值量更高,因此測試機占比最大,達到接近70%的比例,而分選機、探針臺占比分別為17%、15%。

c08b7ee6-caa8-11ed-bfe3-dac502259ad0.png

測試機:

按照測試機所測試的芯片種類不同,測試機可以分為模擬/混合類測試機、SoC測試機、存儲器測試機等。模擬類測試機主要針對以模擬信號電路為主、數字信號為輔的半導體而設計的自動測試系統;SoC測試機主要針對SoC芯片即系統級芯片設計的測試系統;存儲測試機主要針對存儲器進行測試,一般通過寫入一些數據之后在進行讀回、校驗進行測試。其中,SoC與存儲測試機難度最高,同時在結構占比上也是測試機中占比最大的部分,在全球和國內市場均在70%左右占比。

c0ab2250-caa8-11ed-bfe3-dac502259ad0.png

分選機:

主要用于芯片的測試接觸、揀選和傳送等。分選機把待測芯片逐個自動傳送至測試工位,通過測試機測試后分選機根據測試結果進行標記、編帶和分選。

按照形態和適用情形分為重力式、平移式、轉塔式、測編一體機。重力式結構簡單,投資??;平移式適用范圍廣、測試時間較長或先進封裝情況下優勢明顯;轉塔式適合體積小、重量小、測試時間短的芯片。

就分選機產品結構而言,平移式和轉塔式占比最高,轉塔式主要測編一體機,技術壁壘較高,應用更加便捷,隨著技術持續發展成本下降后占比有望持續提升。

探針臺:

主要負則晶圓輸送及定位,使晶圓依次與探針接觸完成測試,提供晶圓自動上下片、找中心、對準、定位及按照設臵的步距移動晶圓以使探針卡上的探針能對準硅片相應位臵進行測試,按不同功能可以分為高溫探針臺、低溫探針臺、RF 探針臺、LCD探針臺等。

后道測試設備競爭格局:

測試機競爭格局:

自動測試系統(ATE)是半導體后道測試設備中的核心設備,全球半導體ATE市場主要由科休、愛德萬和泰瑞達三大巨頭占據,合計占比95%,市場集中程度較高。國內半導體測試機市場中,愛德萬、泰瑞達和科休同樣占據了近84%的市場,國內廠商華峰測控(21年產量1975臺)和長川科技的市占率分別為8%和5%。

c0c5d24e-caa8-11ed-bfe3-dac502259ad0.png

在國內模擬測試機市場,相關國內企業已經建立一定優勢,據統計測算,2020年華峰測控/長川科技在國內模擬測試機占比為49.88%/24.08%,合計突破70%的市場份額。存儲和soc設備正在突破中。

c0db00ce-caa8-11ed-bfe3-dac502259ad0.png

分選機競爭格局:

分選機主要市場由海外占領,但競爭格局較為分散,主要企業仍為科休、愛德萬、臺灣鴻勁以及長川科技,根據VLSI Research及Semi,科休占比最高為21%,Xcerra(已被科休收購)占比16%,國內企業長川科技占比2%。

c0f739d8-caa8-11ed-bfe3-dac502259ad0.png

從中國封測龍頭長電科技和華天科技2016-2021年的招標結果來看,中國分選機市場國產化率很高,包攬市場份額3/4的前五家中僅有鴻勁科技來自中國臺灣,其余四家皆為大陸廠商,長川科技位居榜首,整體國產化水平達65%。

探針臺競爭格局:

探針臺全球市場主要由兩家龍頭企業壟斷,ACCRETECH占比46%,TEL占比27%,其余的企業為臺灣旺矽、臺灣惠特以及深圳矽電等。

c113d8fe-caa8-11ed-bfe3-dac502259ad0.jpg

深圳矽電是境內產品覆蓋最廣的晶圓探針臺(21年產量3701臺)設備廠商,產品類型從手動探針臺到全自動探針臺,尺寸從4英寸到12英寸,應用領域包括集成電路及分立器件的晶圓測試,步進精度可達到±1.3μm。公司晶粒探針臺(21年產量1113臺)已達到國際同類設備水平,適用于4-6英寸PD、APD、LED光電芯片的自動測試,具有無損清針、濾光片自動切換等自主研發的技術。

c127262a-caa8-11ed-bfe3-dac502259ad0.png

c16fc3d0-caa8-11ed-bfe3-dac502259ad0.png

封裝設備:

傳統封裝設備按工藝流程主要分為晶圓減薄機、劃片機、貼片機、引線鍵合機、塑封機及切筋成型機。

c18b1b8a-caa8-11ed-bfe3-dac502259ad0.png

晶圓減薄機:

直徑150mm(6寸)和200mm(8寸)的晶圓厚度分別為625um和725um,而直徑為300mm硅片平均厚度達到775um。在晶圓中總厚度90%以上的襯底材料是為了保證晶圓在制造,測試和運送過程中有足夠的強度。晶圓減薄工藝的作用是對已完成功能的晶圓(主要是硅晶片)的背面基體材料進行磨削,去掉一定厚度的材料。有利于后續封裝工藝的要求以及芯片的物理強度,散熱性和尺寸要求。

工作原理:通過空氣靜壓主軸帶動金剛石磨輪高速旋轉,以IN-Feed或CREEP的方式對磨削材料進行物理去除。

c1a7c5aa-caa8-11ed-bfe3-dac502259ad0.png

晶圓減薄機競爭格局:

國外以日本DISCO、東京精密株式會社和以色列ADT公司(已被光力科技旗下的先進微電子有限公司收購)為主。

c1c5884c-caa8-11ed-bfe3-dac502259ad0.png

北京中電科電子裝備有限公司成功推出了自主研發的8/12英寸全自動晶圓減薄機的產業化機型,目前已有20多臺不同型號設備被用于集成電路材料加工、芯片制造、先進封裝等工藝段的產品量產,產品良率和生產效率均達到日本進口同類機型水平。在第三代半導體材料加工領域,順利完成SiC材料減薄工藝驗證并形成多臺設備訂單。預計2022年減薄設備將實現合同額1.2億元人民幣,2023年全系列產品產值將突破2億元人民幣。

劃片機:

劃片機是使用刀片或者通過激光等方式高精度地切割硅片、玻璃、陶瓷等被加工物的裝置,是半導體后道封測中晶圓切割和WLP切割環節的關鍵設備。目前刀片切割仍占據80%的市場份額,激光切割僅占據20%,預計刀片切割工藝在較長一段時期內仍將為主流切割方式。

c1da0b96-caa8-11ed-bfe3-dac502259ad0.png

目前全球的劃片機市場日本公司壟斷90%以上,其中,Disco約占據70%市場份額,東京精密次之,劃片機國產化率極低,只有5%左右。全球第三大劃片機廠商以色列ADT已被國內光力科技收購,其在國內市場份額不足5%。

光力于2017年收購了英國的LPB公司70%股權,于2020 年進一步收購了LPB公司30%股權。是行業內僅有的兩家(另一家為全球半導體劃片機龍頭企業DISCO)既有切割劃片機設備,又有核心零部件——高精密氣浮主軸的公司,綜合競爭優勢突出。

光力科技21年劃片機產能300臺,空氣主軸產能1000根。

空氣主軸廣泛應用于半導體、汽車自動噴漆、接觸式光鏡片加工、高速鼓風機等領域。在半導體領域為切、磨、削設備中的核心部件,前道制造用的CMP平坦化設備、后道封裝用的背面減薄機、研磨機的核心零部件均為空氣主軸。公司在空氣主軸領域技術領先,將以此為軸打造平臺型公司,推出更多半導體高端裝備系列產品,打開更廣闊的成長空間。

c20206a0-caa8-11ed-bfe3-dac502259ad0.png

c22dcee8-caa8-11ed-bfe3-dac502259ad0.png

固晶機:

固晶機又稱為貼片機,主要應用于半導體封裝測試階段的芯片貼裝環節,即將芯片從已經切割好的晶圓上抓取下來,并安置在基板對應的上,利用銀膠把芯片和基板粘接起來。

c2484642-caa8-11ed-bfe3-dac502259ad0.png

固晶機貼片內容主要分為幾類:IC、傳感器、分立器件、光通信模塊、功率器件、LED。

IC成本較高,因此對貼片精度要求較高,封測廠在IC貼片環節通常將貼片速度調整至其最高速度的70%-80%來保障良率,所以直驅固晶機在IC貼片中有一定的優勢。傳感器、分立器件、光通信模塊、功率器件和LED器件相對較大,對精度的要求便低了很多,同時由于其成本低,對次品率有一定的容忍度,再疊加其量大、以傳統封裝為主的特點,其對效率這一指標更為敏感,因此擺臂固晶機更具優勢。

c26a4742-caa8-11ed-bfe3-dac502259ad0.png

c27c5bbc-caa8-11ed-bfe3-dac502259ad0.png

c291a5ee-caa8-11ed-bfe3-dac502259ad0.png

根據高工產業研究院2020最新數據,新益昌(20年總產量3000臺)在中國固晶機市場的市占率超70%,客戶普及率超過9成;根據Yole,2018年全球固晶設備(應用領域包括LED、半導體、光電子等)中新益昌的整體市場占有率為6%,在全球固晶設備市場排名第三,在LED 固晶機全球市場份額為28%。

c2a80a6e-caa8-11ed-bfe3-dac502259ad0.png

c2bf70a0-caa8-11ed-bfe3-dac502259ad0.png

新益昌零部件自制率逐年提高,公司驅動器、導軌、電機、運動控制卡、高精度讀數頭及電磁閥于2020年的自產率分別為69.48%、15.30%、21.39%、24.17%、87.40%及11.08%,鏡頭全部外購,前五大供應商均為國內公司。

新益昌自產驅動器、電機、導軌、讀頭器的關鍵參數均優于外購。如XY平臺驅動器在定位精度上,公司可以達到±3μm,優于Sanyo、Panasonic的設備±5μm;導軌方面,公司UW軸的使用時間超過12個月,高于SKF的6個月左右;電機方面,公司自產部件在精度和定位時間上都要好于外購的Panasonic產品。

c2da25ee-caa8-11ed-bfe3-dac502259ad0.png

c2f7d99a-caa8-11ed-bfe3-dac502259ad0.png

引線鍵合(焊線)機:

焊線機用于引線鍵合工序,將金屬引線與基板焊盤緊密焊合,目的是實現芯片的輸入輸出端與應用器件相連接,最終實現芯片與基板之間的電氣互連和芯片間的信息互通。引線鍵合工藝中所用的導電絲主要有金絲、銅絲和鋁絲。

c30acd52-caa8-11ed-bfe3-dac502259ad0.png

按照焊接原理的不同,可分為熱壓鍵合、超聲鍵合和熱超聲鍵合三種。熱壓鍵合和熱超聲鍵合的焊接材料為金線、銅線,而超聲鍵合主要焊接材料為鋁線。鋁線鍵合機更適用于功率器件,金銅線鍵合機更多用于IC領域。

c326707a-caa8-11ed-bfe3-dac502259ad0.jpg

引線鍵合(焊線)機競爭格局:

目前國內市場被K&S、ASM Pacific壟斷,2021年引線鍵合設備國產化率僅3%。2021年中國引線鍵合機進口量為31134臺,國內鋁線鍵合器一年的需求量大致在3600臺左右。K&S(Kulicke & Soffa)是美國半導體封裝設備龍頭企業,核心提供焊線機及相關消耗性工具產品;ASM Pacific最早由荷蘭ASMI出資設立,總部位于新加坡,其從單一的焊線機生產商成長為后道工序全產品供應商。

大陸企業新益昌、大族封測(21年產量3000臺)、深圳翠濤布局焊線機。

c3366f8e-caa8-11ed-bfe3-dac502259ad0.png

國產焊線機公司:

大族封測:主流產品的核心性能與國際龍頭企業基本持平

c34a5602-caa8-11ed-bfe3-dac502259ad0.png

c3691542-caa8-11ed-bfe3-dac502259ad0.png

奧特維:鋁絲鍵合機技術對標國外一線。

c37d9a76-caa8-11ed-bfe3-dac502259ad0.png

新益昌(收購深圳開玖):

開玖自動化的LED焊線機已在客戶驗證中,半導體焊線機預計將于2022H2推出樣機。

主要有兩大產品系列,包括小信號器件鍵合用金絲球焊線機(K900系列)和大功率半導體用粗鋁絲壓焊機(K530型、K550型),其中金絲球焊線機的代表機型K940型TO56焊線機在光通訊行業占有80%以上市場份額。

c390e608-caa8-11ed-bfe3-dac502259ad0.png

塑封機:

塑料封裝是指將半導體集成電路芯片可靠地封裝到一定的塑料外殼內。

芯片封裝按技術路線不同,目前可分為金屬封裝、陶瓷封裝和塑料封裝,塑料封裝憑借其優良的綜合性能和高性價比,為目前半導體封裝主流的封裝技術,目前上述各種分類方法下的各芯片類型主要使用的是塑料封裝。

塑封機競爭格局:

半導體全自動塑料封裝設備呈現寡頭壟斷格局,TOWA、YAMADA 等公司占據了絕大部分的半導體全自動塑料封裝設備市場。我國僅有少數國產半導體封裝設備制造企業,擁有生產全自動封裝設備多種機型的能力,文一科技、耐科裝備與大華科技均是代表企業之一。

根據SEMI統計,2020年中國大陸半導體全自動塑料封裝設備市場規模約為20億元,其中TOWA每年銷售量約為200臺、YAMADA約為50臺、BESI約50臺、ASM約50臺、文一科技及耐科裝備每年各20臺左右。

文一科技半導體設備中的關鍵元器件大部分依靠進口,這也使得國產設備的完全自主仍然面臨挑戰與風險。

耐科裝備塑封設備:

目前可實現絕大部分塑料封裝形式,目前尚無法實現樹脂底部填充封裝、采用壓塑封裝成型的晶圓級封裝、板級封裝等先進封裝。

c3c83612-caa8-11ed-bfe3-dac502259ad0.png

c3e36694-caa8-11ed-bfe3-dac502259ad0.jpg

切筋成型設備:

切筋成型是將已完成封裝的產品成型為滿足設計要求的形狀與尺寸,并從框架或基板上切筋、成型、分離成單個的具有設定功能的成品的過程。切筋成型產品在半導體封裝中的作用如下:切除不需要的連接用材料,使引腳與引腳分離,實現電信號各自獨立;成型符合設計要求的形狀與尺寸,滿足后續裝配要求。

半導體切筋成型設備市場主要包含手動切筋成型設備和全自動切筋成型設備。目前,手動切筋成型設備已幾乎全部淘汰,自動切筋成型設備是市場主流產品。

切筋成型設備競爭格局:

在全自動切筋成型設備領域主要企業有日本YAMADA、荷蘭FICO、耐科裝備、文一科技、東莞朗誠微電子設備有限公司、蘇州均華精密機械有限公司、上海浦貝自動化科技有限公司、深圳市曜通科技有限公司、深圳尚明精密模具有限公司、深圳華龍精密有限責任公司等。

目前國產全自動切筋成型設備技術已基本達到大部分封測廠商的要求,產品處于相對成熟的發展階段,國產設備市場處于自由競爭階段,各國產品牌之間無特別明顯的競爭優劣勢,但在設備穩定性等方面相較于以日本YAMADA和荷蘭FICO 為代表的全球知名品牌尚有一定的差距。

耐科裝備切筋成型設備:

c3f8a28e-caa8-11ed-bfe3-dac502259ad0.png

耐科裝備原材料風險:

公司塑料擠出成型模具、擠出成型裝置及下游設備使用的傳感器、工控機、控制器、電磁閥等,公司半導體封裝設備中使用的軸承、導軌、伺服電機、控制系統等零部件主要采購于日本品牌供應商(部分品牌在國內有生產工廠),公司也有國內供應商替代方案;公司半導體封裝設備目前使用的PM23鋼、PM60鋼主要采購于瑞典的模具鋼材供應商,也可以從德國、日本采購,但無國內替代供應商,對該類原材料存在重大進口依賴。

審核編輯 :李倩

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 集成電路
    +關注

    關注

    5341

    文章

    10914

    瀏覽量

    355127
  • 光刻機
    +關注

    關注

    31

    文章

    1129

    瀏覽量

    46543
  • 半導體設備
    +關注

    關注

    4

    文章

    301

    瀏覽量

    14665

原文標題:被卡脖子的半導體設備(萬字深度報告)

文章出處:【微信號:光刻人的世界,微信公眾號:光刻人的世界】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    曾經長期國外企業壟斷“卡脖子”的半導體配件——PFA隔膜閥

    半導體
    jf_03771473
    發布于 :2024年01月06日 17:27:12

    [原創]誠招兼職打字錄入員,中文80元/萬字,英文110元/

    每份資料每份4-10萬字左右!英文詞300元/份 中文300元/份!公司稿子多/ 每周3-5份左右! 備注:有打印設備每份資料多加酬勞50-80元!每份資料出錯率份之十!超出后按5
    發表于 07-05 10:20

    急聘兼職打字員600元萬字地區無限

    因業務需求急招兼職打字員600元/萬字,可以帶回家做,工資可以日結。有意者請咨詢 QQ:898715263 聯系人:沈經理加入條件:1.招聘范圍:全國各地 沒有區域限制 在家可工作 有意此工作者:先
    發表于 08-12 13:01

    中國計算機學者熱議硬科技創新,支招解決“卡脖子”問題

    近日華為危機再度暴露半導體產業卡脖子問題,在這個時間點,如果我們回顧中國的科技產業,很容易發現各行各業都存在一定“卡脖子”問題。
    的頭像 發表于 05-29 10:11 ?3184次閱讀

    為了不被卡脖子 華為一年投資17家半導體公司

    半導體可以說是華為卡脖子的關鍵領域,這兩年來華為已經加大了對半導體產業鏈的投資,日前華為又入股了寧波潤華全芯微電子,這是華為一年來投資的第17家半導體公司。 據企查查信息,11月23日
    的頭像 發表于 11-24 14:16 ?2289次閱讀

    半導體又一個卡脖子設備—風囊泵

    我國半導體行業依然處于受制于人的狀態,除了我們熟知的光刻機、蝕刻機之外,其中有個泵體設備半導體生產產業鏈中起著不可或缺的作用,那就是風囊泵,專門輸送高純度耐酸堿的一種非金屬泵體。
    的頭像 發表于 07-24 23:45 ?7883次閱讀
    <b class='flag-5'>半導體</b>又一個<b class='flag-5'>卡脖子</b><b class='flag-5'>設備</b>—風囊泵

    國內“半導體”3大標桿:“卡脖子”,“中國芯”崛起的希望?

    機”,到“特高壓”輸電技術,到“空間站”,再到現在的“半導體芯片”,一次次的“卡脖子”讓我們感受到了切膚之痛。同時,也清醒的讓我們認識到——大國競爭本質上是科技競爭,沒有強大的自主可控的科技,我們將處處受制于人。
    的頭像 發表于 08-08 15:52 ?3119次閱讀
    國內“<b class='flag-5'>半導體</b>”3大標桿:“<b class='flag-5'>卡脖子</b>”,“中國芯”崛起的希望?

    國內接口IP如何突圍“卡脖子”現狀

    國內半導體IP已經覆蓋處理器和微控制器、存儲器、外設及接口、模擬和混合電路、通信、圖像和媒體等各類IP。但是本土IP產業的規模并不大,國內如何突圍現在的“卡脖子”現狀呢?
    的頭像 發表于 01-06 12:13 ?1324次閱讀

    卡脖子半導體材料(萬字深度報告

    根據SEMI數據,2020年全球晶圓制造材料中,硅片占比最高,為35%;電子氣體排名第2,占比13%;掩膜版排名第3,占比12%,光刻膠占比6%;光刻膠配套材料占比8% ;濕電子化學品占比7%;CMP拋光材料占比6%;靶材占比2%。
    的頭像 發表于 03-25 09:30 ?4627次閱讀

    加快解決卡脖子難題,陸芯半導體切割加工環節助力國產替代

    國家重點戰略布局的半導體行業,從研發,生產,加工,封裝等多個產業鏈環節,一直存在卡脖子的難題。為實現中國高端制作強國之路,陸芯積極響應,專注半導體切割加工環節,以
    的頭像 發表于 03-31 16:10 ?514次閱讀
    加快解決<b class='flag-5'>卡脖子</b>難題,陸芯<b class='flag-5'>半導體</b>切割加工環節助力國產替代

    2021年化合物半導體行業深度報告.zip

    2021年化合物半導體行業深度報告
    發表于 01-13 09:05 ?9次下載

    2021年半導體行業深度報告七之IC載板篇.zip

    2021年半導體行業深度報告七之IC載板篇
    發表于 01-13 09:05 ?3次下載

    半導體硅片行業深度報告.zip

    半導體硅片行業深度報告
    發表于 01-13 09:06 ?7次下載

    半導體行業深度報告.zip

    半導體行業深度報告
    發表于 01-13 09:06 ?15次下載

    電子行業半導體行業系列深度報告.zip

    電子行業半導體行業系列深度報告
    發表于 01-13 09:07 ?17次下載
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>