<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

半導體封裝技術解析

1770176343 ? 來源:祺芯半導體 ? 作者:祺芯半導體 ? 2022-12-21 14:11 ? 次閱讀

半導體制造的工藝過程由晶圓制造(Wafer Fabr ication)、晶圓測試(wafer Probe/Sorting)、芯片封裝(Assemble)、測試(Test)以及后期的成品(Finish Goods)入庫所組成。

cab7f574-7e0f-11ed-8abf-dac502259ad0.jpg

半導體器件制作工藝分為前道和后道工序,晶圓制造和測試被稱為前道(Front End)工序,而芯片的封裝、測試及成品入庫則被稱為后道(Back End)工序,前道和后道一般在不同的工廠分開處理。

前道工序是從整塊硅圓片入手經多次重復的制膜、氧化、擴散,包括照相制版和光刻等工序,制成三極管、集成電路等半導體元件及電極等,開發材料的電子功能,以實現所要求的元器件特性。

后道工序是從由硅圓片分切好的一個一個的芯片入手,進行裝片、固定、鍵合聯接、塑料灌封、引出接線端子、按印檢查等工序,完成作為器件、部件的封裝體,以確保元器件的可靠性,并便于與外電路聯接。

半導體制造工藝和流程

晶圓制造

晶圓制造主要是在晶圓上制作電路與鑲嵌電子元件(如電晶體、電容、邏輯閘等),是所需技術最復雜且資金投入最多的過程。以微處理器為例,其所需處理步驟可達數百道,而且所需加工機器先進且昂貴。雖然詳細的處理程序是隨著產品種類和使用技術的變化而不斷變化,但其基本處理步驟通常是晶圓先經過適當的清洗之后,接著進行氧化及沉積處理,最后進行微影、蝕刻及離子植入等反復步驟,最終完成晶圓上電路的加工與制作。

晶圓測試

晶圓經過劃片工藝后,表面上會形成一道一道小格,每個小格就是一個晶片或晶粒(Die),即一個獨立的集成電路。在一般情況下,一個晶圓上制作的晶片具有相同的規格,但是也有可能在同一個晶圓上制作規格等級不同的晶片。晶圓測試要完成兩個工作:一是對每一個晶片進行驗收測試,通過針測儀器(Probe)檢測每個晶片是否合格,不合格的晶片會被標上記號,以便在切割晶圓的時候將不合格晶片篩選出來;二是對每個晶片進行電氣特性(如功率等)檢測和分組,并作相應的區分標記。

芯片封裝

首先,將切割好的晶片用膠水貼裝到框架襯墊(Substrate)上;其次,利用超細的金屬導線或者導電性樹脂將晶片的接合焊盤連接到框架襯墊的引腳,使晶片與外部電路相連,構成特定規格的集成電路芯片(Bin);最后對獨立的芯片用塑料外殼加以封裝保護,以保護芯片元件免受外力損壞。塑封之后,還要進行一系列操作,如后固化(Post Mold Cure)、切筋(Trim)、成型(Form)和電鍍(Plating)等工藝。

芯片測試

封裝好的芯片成功經過烤機(Burn In)后需要進行深度測試,測試包括初始測試(Initial Test)和最后測試(Final Test)。初始測試就是把封裝好的芯片放在各種環境下測試其電氣特性(如運行速度、功耗、頻率等),挑選出失效的芯片,把正常工作的芯片按照電氣特性分為不同的級別。最后測試是對初始測試后的芯片進行級別之間的轉換等操作。

成品入庫

測試好的芯片經過半成品倉庫后進入最后的終加工,包括激光印字、出廠質檢、成品封裝等,最后入庫。

封裝的基本定義和內涵

封裝(packaging,PKG):主要是在半導體制造的后道工程中完成的。即利用膜技術及微細連接技術,將半導體元器件及其他構成要素在框架或基板上布置、固定及連接,引出接線端子,并通過塑性絕緣介質灌封固定,構成整體主體結構的工藝。

封裝工程:是封裝與實裝工程及基板技術的總和。即將半導體、電子元器件所具有的電子的、物理的功能,轉變為適用于機器或系統的形式,并使之為人類社會服務的科學技術,統稱為電子封裝工程。

封裝一詞用于電子工程的歷史并不長。在真空電子管時代,將電子管等器件安裝在管座上構成電路設備一般稱為組裝或裝配,當時還沒有封裝這一概念。自從三極管、IC等半導體元件的出現,改變了電子工程的歷史。一方面,這些半導體元件細小柔嫩;另一方面,其性能又高,而且多功能、多規格。為了充分發揮其功能,需要補強、密封、擴大,以便與外電路實現可靠地電氣聯接,并得到有效地機械支撐、絕緣、信號傳輸等方面的保護作用?!胺庋b”的概念正是在此基礎上出現的。

封裝的功能

封裝最基本的功能是保護電路芯片免受周圍環境的影響(包括物理、化學的影響)。所以,在最初的微電子封裝中,是用金屬罐(Metal Can)作為外殼,用與外界完全隔離的、氣密的方法,來保護脆弱的電子元件。但是,隨著集成電路技術的發展,尤其是芯片鈍化層技術的不斷改進,封裝的功能也在慢慢異化。

一般來說顧客所需要的并不是芯片,而是由芯片和PKG構成的半導體器件。PKG是半導體器件的外緣,是芯片與實裝基板間的界面。因此無論PKG的形式如何,封裝最主要的功能應是芯片電氣特性的保持功能。

通常認為,半導體封裝主要有電氣特性的保持、芯片保護、應力緩和及尺寸調整配合四大功能,它的作用是實現和保持從集成電路器件到系統之間的連接,包括電學連接和物理連接。目前,集成電路芯片的I/0線越來越多,它們的電源供應和信號傳送都是要通過封裝來實現與系統的連接。芯片的速度越來越快,功率也越來越大,使得芯片的散熱問題日趨嚴重,由于芯片鈍化層質量的提高,封裝用以保護電路功能的作用其重要性正在下降。

芯片電氣特性的保持功能

通過PKG的進步,滿足不斷發展的高性能、小型化、高頻化等方面的要求,確保其功能性。

芯片保護功能

PKG的芯片保護功能很直觀,保護芯片表面以及連接引線等,使在電氣或物理等方面相當柔嫩的芯片免受外力損害及外部環境的影響。保證可靠性。

應力緩和功能

由于熱等外部環境的影響或者芯片自身發熱等都會產生應力,PKG緩解應力,防止發生損壞失效,保證可靠性。

尺寸調整配合(間距變化)功能

由芯片的微細引線間距調整到實裝基板的尺寸間距,從而便于實裝操作。例如,從亞微米(目前已小于 0.13μm)為特征尺寸的芯片到以10μm為單位的芯片電極凸點,再到以100μm為單位的外部引線端子,最后到以mm為單位的實裝基板,都是通過PKG來實現的。在這里PKG起著由小到大、由難到易、由復雜到簡單的變換作用。從而可使操作費用及資材費用降低,而且提高工作效率和可靠性。保證實用性或通用性。

封裝的范圍

微電子封裝的三個層次

通常,從FAB廠制造的晶圓開始,可以將電子封裝,按照制造的時間先后順序分為三個層次。

cadfc3d8-7e0f-11ed-8abf-dac502259ad0.jpg

微電子封裝的三個層次

一級封裝

一級封裝是用封裝外殼將芯片封裝成單芯片組件(SCM)和多芯片組件(MCM)。半導體芯片和封裝體的電學互聯,通常有三種實現途徑,引線鍵合(WB)、載帶自動焊(TAB)和倒裝焊(Flip Chip),一級封裝的可以使用金屬、陶瓷,塑料(聚合物)等包封材料。封裝工藝設計需要考慮到單芯片或者多芯片之間的布線,與PCB節距的匹配,封裝體的散熱情況等。

二級封裝

二級封裝是印刷電路板的封裝和裝配,將一級封裝的元器件組裝到印刷電路板(PCB)上,包括板上封裝單元和器件的互連,包括阻抗的控制、連線的精細程度和低介電常數材料的應用。除了特別要求外,這一級封裝一般不單獨加封裝體,具體產品如計算機的顯卡,PCI數據采集卡等都屬于這一級封裝。如果這一級封裝能實現某些完整的功能,需要將其安裝在同一的殼體中,例如Ni公司USB數據采集卡,創新的外置USB聲卡等。

三級封裝

三級封裝是將二級封裝的組件插到同一塊母板上,也就是關于插件接口、主板及組件的互連。這一級封裝可以實現密度更高,功能更全組裝,通常是一種立體組裝技術。例如一臺PC的主機,一個NI公司的PXI數據采集系統,汽車的GPS導航儀,這些都屬于三級微電子封裝的產品。

微電子封裝工程和電子基板

微電子封裝是一個復雜的系統工程,類型多、范圍廣,涉及各種各樣材料和工藝??砂磶缀尉S數將電子封裝分解為簡單的“點、線、面、體、塊、板”等。

電子基板是半導體芯片封裝的載體,搭載電子元器件的支撐,構成電子電路的基盤,按其結構可分為普通基板、印制電路板、模塊基板等幾大類。其中PCB在原有雙面板、多層板的基礎上,近年來又出現積層(build-up)多層板。模塊基板是指新興發展起來的可以搭載在PCB之上,以BGA、CSP、TAB、MCM為代表的封裝基板(Package Substrate,簡稱PKG基板)。小到芯片、電子元器件,大到電路系統、電子設備整機,都離不開電子基板。近年來在電子基板中,高密度多層基板所占比例越來越大。

微電子封裝所涉及的各個方面幾乎都是在基板上進行或與基板相關。在電子封裝工程所涉及的四大基礎技術,即薄厚膜技術、微互連技術、基板技術、封接與封裝技術中,基板技術處于關鍵與核心地位。隨著新型高密度封裝形式的出現,電子封裝的許多功能,如電氣連接,物理保護,應力緩和,散熱防潮,尺寸過渡,規格化、標準化等,正逐漸部分或全部的由封裝基板來承擔。

微電子封裝的范圍涉及從半導體芯片到整機,在這些系統中,生產電子設備包括6個層次,也即裝配的6個階段。我們從電子封裝工程的角度,按習慣一般稱層次1為零級封裝;層次2為一級封裝;層次3為二級封裝;層次4、5、6為三級封裝。

電子封裝的工程的六個階段

層次1(裸芯片)

它是特指半導體集成電路元件(IC芯片)的封裝,芯片由半導體廠商生產,分為兩類,一類是系列標準芯片,另一類是針對系統用戶特殊要求的專用芯片,即未加封裝的裸芯片(電極的制作、引線的連接等均在硅片之上完成)。

層次2(封裝后的芯片即集成塊)

分為單芯片封裝和多芯片封裝兩大類。前者是對單個裸芯片進行封裝,后者是將多個裸芯片裝載在多層基板(陶瓷或有機)上進行氣密性封裝構成MCM。

層次3(板或卡)

它是指構成板或卡的裝配工序。將多個完成層次2的單芯片封裝和MCM,實裝在PCB板等多層基板上,基板周邊設有插接端子,用于與母板及其它板或卡的電氣連接。

層次4(單元組件)

將多個完成層次3的板或卡,通過其上的插接端子搭載在稱為母板的大型PCB板上,構成單元組件。

層次5(框架件)

它是將多個單元構成(框)架,單元與單元之間用布線或電纜相連接。

層次6(總裝、整機或系統)

它是將多個架并排,架與架之間由布線或電纜相連接,由此構成大型電子設備或電子系統。

封裝基板和封裝分級

從硅圓片制作開始,微電子封裝可分為0、1、2、3四個等級,涉及上述六個層次,封裝基板(PKG基板或Substrate)技術現涉及1、2、3三個等級和2~5的四個層次。

封裝基板主要研究前3個級別的半導體封裝(1、2、3級封裝),0級封裝暫與封裝基板無關,因此封裝基板一般是指用于1級2級封裝的基板材料,母板(或載板)、剛撓結合板等用于三級封裝。

封裝基板和三級封裝

零級封裝

裸芯片電極的制作、引線的連接等均在硅片之上完成,暫與基板無關。

一級封裝

一級封裝經0級封裝的單芯片或多芯片在封裝基板(普通基板、多層基板、HDI基板)上的封裝,構成集成電路模塊(或元件)。即芯片在各類基板(或中介板)上的裝載方式。

二級封裝

二級封裝集成電路(IC元件或IC塊)片在封裝基板(普通基板、多層基板、HDI基板)上的封裝,構成板或卡。即各種實裝方式(二級封裝或一級加二級封裝)。后續談到的的DIP、PGA屬于DIP封型,GFP、BGA、CSP等屬于SMT實裝型,這些都屬于二級封裝。

三級封裝

三級封裝包含4、5、6三個層次。即將多個完成層次3的板或卡,通過其上的插接端子搭載在稱為母板(或載板)的大型PCB板上,構成單元組件(此層次也是實裝方式之一);或是將多個單元構成架,單元與單元之間用布線(剛撓PCB)或電纜相連接;或是將多個架并排,架與架之間由布線(剛撓PCB)或電纜相連接,由此構成大型電子設備或系統(此兩個層次稱為裝聯)。

傳統集成電路(IC)封裝的主要生產過程

IC的封裝工藝流程可分為晶圓切割、晶圓粘貼、金線鍵合、塑封、激光打印、切筋打彎、檢驗檢測等步驟。

cb033a84-7e0f-11ed-8abf-dac502259ad0.jpg

傳統半導體封裝的七道工序

晶圓切割

首先將晶片用薄膜固定在支架環上,這是為了確保晶片在切割時被固定住,然后把晶圓根據已有的單元格式被切割成一個一個很微小的顆粒,切割時需要用去離子水冷卻切割所產生的溫度,而本身是防靜電的。

晶圓粘貼

晶圓粘貼的目的將切割好的晶圓顆粒用銀膏粘貼在引線框架的晶圓上,用粘合劑將已切下來的芯片貼裝到引線框架的中間焊盤上。通常是環氧(或聚酰亞胺)用作為填充物以增加粘合劑的導熱性。

金線鍵合

金線鍵合的目的是將晶圓上的鍵合壓點用極細的金線連接到引線框架上的內引腳上,使得晶圓的電路連接到引腳。通常使用的金線的一端燒成小球,再將小球鍵合在第一焊點。然后按照設置好的程序拉金線,將金線鍵合在第二焊點上。

塑封

將完成引線鍵合的芯片與引線框架置于模腔中,再注入塑封化合物環氧樹脂用于包裹住晶圓和引線框架上的金線。這是為了保護晶圓元件和金線。塑封的過程分為加熱注塑,成型二個階段。塑封的目的主要是:保護元件不受損壞;防止氣體氧化內部芯片;保證產品使用安全和穩定。

激光打印

激光打印是用激光射線的方式在塑封膠表面打印標識和數碼。包括制造商的信息,器件代碼,封裝日期,可以作為識別和可追溯性。

切筋打彎

將原來連接在一起的引線框架外管腳切斷分離,并將其彎曲成設計的形狀,但不能破壞環氧樹脂密封狀態,并避免引腳扭曲變形,將切割好的產品裝入料管或托盤便于轉運。

檢驗

檢驗檢查產品的外觀是否能符合設計和標準。常見的的測試項目包括:打印字符是否清晰、正確,引腳平整性、共面行,引腳間的腳距,塑封體是否損傷、電性能及其它功能測試等。

半導體封裝技術

芯片封裝的實質:

傳統意義的芯片封裝一般指安放集成電路芯片所用的封裝殼體,它同時可包含將晶圓切片與不同類型的芯片管腳架及封裝材料形成不同外形的封裝體的過程。從物理層面看,它的基本作用為:為集成電路芯片提供穩定的安放環境,保護芯片不受外部惡劣條件(例如灰塵,水氣)的影響。從電性層面看,芯片封裝同時也是芯片與外界電路進行信息交互的鏈路,它需要在芯片與外界電路間建立低噪聲、低延遲的信號回路。

然而不論封裝技術如何發展,歸根到底,芯片封裝技術都是采用某種連接方式把晶圓切片上的管腳與引線框架以及封裝殼或者封裝基板上的管腳相連構成芯片。而封裝的本質就是規避外界負面因素對芯片內部電路的影響,同時將芯片與外部電路連接,當然也同樣為了使芯片易于使用和運輸。

芯片封裝技術越來越先進,管角間距越來越小,管腳密度卻越來越高,芯片封裝對溫度變化的耐受性越來越好,可靠性越來越高。另外一個重要的指標就是看芯片與封裝面積的比例。

此外,封裝技術中的一個主要問題是芯片占用面積,即芯片占用的印刷電路板(PCB)的面積。從早期的DIP封裝,當前主流的CSP封裝,芯片與封裝的面積比可達1:1.14,已經十分接近1:1的理想值。而更先進MCM到SiP封裝,從平面堆疊到垂直堆疊,芯片與封裝的面積相同的情況下進一步提高性能。

各種常用封裝管殼

cb20b9ba-7e0f-11ed-8abf-dac502259ad0.jpg

封裝管殼內部

cb446cc0-7e0f-11ed-8abf-dac502259ad0.jpg

封裝技術工藝發展歷程:

cb620758-7e0f-11ed-8abf-dac502259ad0.jpg

半導體封裝技術的發展歷史可劃分為三個階段。

第一階段(20世紀70年代之前)

以通孔插裝型封裝為主;典型的封裝形式包括最初的金屬圓形(TO型)封裝,以及后來的陶瓷雙列直插封裝(CDIP)、陶瓷-玻璃雙列直插封裝(Cer DIP)和塑料雙列直插封裝(PDIP)等;其中的PDIP,由于其性能優良、成本低廉,同時又適于大批量生產而成為這一階段的主流產品。

第二階段(20世紀80年代以后)

從通孔插裝型封裝向表面貼裝型封裝的轉變,從平面兩邊引線型封裝向平面四邊引線型封裝發展。表面貼裝技術被稱為電子封裝領域的一場革命,得到迅猛發展。與之相適應,一些適應表面貼裝技術的封裝形式,如塑料有引線片式裁體(PLCC)、塑料四邊引線扁平封裝(PQFP)、塑料小外形封裝(PSOP)以及無引線四邊扁平封裝(PQFN)等封裝形式應運而生,迅速發展。其中的PQFP,由于密度高、引線節距小、成本低并適于表面安裝,成為這一時期的主導產品。

第三階段(20世紀90年代以后)

半導體發展進入超大規模半導體時代,特征尺寸達到0.18-0.25μm,要求半導體封裝向更高密度和更高速度方向發展。因此,半導體封裝的引線方式從平面四邊引線型向平面球柵陣列型封裝發展,引線技術從金屬引線向微型焊球方向發展。

在此背景下,焊球陣列封裝(BGA)獲得迅猛發展,并成為主流產品。BGA按封裝基板不同可分為塑料焊球陣列封裝(PBGA),陶瓷焊球陣列封裝(CBGA),載帶焊球陣列封裝(TBGA),帶散熱器焊球陣列封裝(EBGA),以及倒裝芯片焊球陣列封裝(FC-BGA)等。

為適應手機、筆記本電腦等便攜式電子產品小、輕、薄、低成本等需求,在BGA的基礎上又發展了芯片級封裝(CSP);CSP又包括引線框架型CSP、柔性插入板CSP、剛性插入板CSP、園片級CSP等各種形式,目前處于快速發展階段。

同時,多芯片組件(MCM)和系統封裝(SiP)也在蓬勃發展,這可能孕育著電子封裝的下一場革命性變革。MCM按照基板材料的不同分為多層陶瓷基板MCM(MCM-C)、多層薄膜基板MCM(MCM-D)、多層印制板MCM(MCM-L)和厚薄膜混合基板MCM(MCM-C/D)等多種形式。SiP是為整機系統小型化的需要,提高半導體功能和密度而發展起來的。SiP使用成熟的組裝和互連技術,把各種集成電路如CMOS電路、GaAs電路、SiGe電路或者光電子器件、MEMS器件以及各類無源元件如電阻、電容、電感等集成到一個封裝體內,實現整機系統的功能。

目前,半導體封裝處于第三階段的成熟期與快速增長期,以BGA/CSP等主要封裝形式開始進入規?;a階段。同時,以SiP和MCM為主要發展方向的第四次技術變革處于孕育階段。

半導體封裝材料

半導體元件的封接或封裝方式分為氣密性封裝和樹脂封裝兩大類,氣密性封裝又可分為金屬封裝、陶瓷封裝和玻璃封裝。封接和封裝的目的是與外部溫度、濕度、氣氛等環境隔絕,除了起保護和電氣絕緣作用外,同時還起向外散熱及應力緩和作用。一般來說,氣密性封裝可靠性高,但價格也高。目前由于封裝技術及材料的改進,樹脂封裝已占絕對優勢,但在有些特殊領域(軍工、航空、航天、航海等),氣密性封裝是必不可少的。

按封裝材料可劃分為:金屬封裝、陶瓷封裝(C)、塑料封裝(P)。采用前兩種封裝的半導體產品主要用于航天、航空及軍事領域,而塑料封裝的半導體產品在民用領域得到了廣泛的應用。目前樹脂封裝已占世界集成電路封裝市場的98%,97%以上的半導體器件的封裝都采用樹脂封裝,在消費類電路和器件領域基本上是樹脂封裝一統天下,而90%以上的塑封料是環氧樹脂塑封料和環氧液體灌封料。

芯片電學(零級封裝)互連:

在一級封裝中,有個很重要的步驟就是將芯片和封裝體(進行電學互連的過程,通常稱為芯片互連技術或者芯片組裝。為了凸顯其重要性,有些教科書也將其列為零級封裝。也就是將芯片上的焊盤或凸點與封裝體通常是引線框架用金屬連接起來)。在微電子封裝中,半導體器件的失效約有一是由于芯片互連引起的,其中包括芯片互連處的引線的短路和開路等,所以芯片互連對器件的可靠性非常重要。

常見的芯片電學互連有三種方式,分別是引線鍵合,載帶自動焊和倒裝焊。

通常,TAB和FC雖然互連的電學性能要比好,但是都需要額外的設備。因此,對于I/O數目較少的芯片,TAB和FC成本很高,另外,在3D封裝中,由于芯片堆疊,堆疊的芯片不能都倒扣在封裝體上,只能通過WB與封裝體之間進行互連?;谶@些原因,到目前為止,WB一直是芯片互連的主流技術,在芯片電學互連中占據非常重要的地位。

芯片電學互連(零級封裝)的三種方式

引線鍵合(WB)

引線鍵合(WB)是將芯片焊盤和對應的封裝體上焊盤用細金屬絲一一連接起來,每次連接一根,是最簡單的一種芯片電學互連技術,按照電氣連接方式來看屬于有線鍵合。

載帶自動焊(TAB)

載帶自動焊(TAB)是一種將IC安裝和互連到柔性金屬化聚合物載帶上的IC組裝技術。載帶內引線鍵合到IC上,外引線鍵合到常規封裝或者PCB上,整個過程均自動完成,因此,效率比要高。按照電氣連接方式來看屬于無線鍵合方法。

倒裝焊(FC)

倒裝焊(FC)是指集成電路芯片的有源面朝下與載體或基板進行連接。芯片和基板之間的互連通過芯片上的凸點結構和基板上的鍵合材料來實現。這樣可以同時實現機械互連和電學互連。同時為了提高互連的可靠性,在芯片和基板之間加上底部填料。對于高密度的芯片,倒裝焊不論在成本還是性能上都有很強的優勢,是芯片電學互連的發展趨勢。按照電氣連接方式來看屬于無線鍵合方法。

半導體封裝的典型封裝工藝簡介

依據封裝管腳的排布方式、芯片與PCB板連接方式以及發展的時間先后順序,半導體封裝可劃分為PTH封裝(Pin-Through-Hole)和SMT封裝(Surface-Mount-Technology)二大類,即通常所稱的插孔式(或通孔式)和表面貼裝式。

針腳插裝技術(PTH):

針腳插裝封裝,顧名思義即在芯片與目標板的連接過程中使用插裝方式,古老而經典DIP封裝即屬于該種封裝形式。在早期集成電路中由于芯片集成度不高,芯片工作所需的輸入/輸出管腳數較少,所以多采用該種封裝形式。DIP封裝有兩種衍生封裝形式,即為:SiP和ZIP,只是為適應不同的應用領域,對傳統DIP封裝在封裝殼管腳排布和形狀上略有改進。

PTH封裝示意圖

cb8a8412-7e0f-11ed-8abf-dac502259ad0.jpg

表面貼裝封裝(SMT):

PTH封裝在機械連接強度上的優勢毋庸質疑,但同時也帶來一些負面效應。PTH封裝中使用的貫通孔將大量占用PCB板有效布線面積,因此目前主流的PCB板設計中多使用表面貼片封裝。

表面貼片封裝有很多種類,常用的封裝形式有:

小型塑封晶體管(Small Outline Transistor,SOT)

小引出線封裝(Smal lOutline Package,SOP)

四方扁平無引線封裝(Quad Flat No-lead Package,QFN)

薄小縮小外形封裝(Thin Small Shrink Outline Package,TSSOP)

方型扁平式封裝(Quad Flat Package,QFP)

方形扁平無引腳封裝(QFN)

從SOT到QFN,芯片封裝殼支持的管腳數越來越多,芯片封裝殼的管角間距越來越小。

表面貼片封裝方式的優點在于芯片封裝的尺寸大大下降,芯片封裝的管腳密度大大提升,與PTH封裝具有相同管腳數量時,表面貼片封裝的封裝尺寸將遠小于PTH封裝。表面貼片封裝只占用PCB板表層布線空間,在使用多層布線工藝時,封裝占用的有效布線面積大大下降,可以大大提高PCB板布線密度和利用率。

芯片級(CSP)封裝技術

CSP定義

根據J-STD-012標準的定義,CSP是指封裝尺不超過裸芯片1.2倍的一種先進的封裝形式。一般認為CSP技術是在對現有的芯片封裝技術,尤其是對成熟的BGA封裝技術做進一步技術提升的過程中,不斷將各種封裝尺寸進一步小型化而產生的一種封裝技術。

CSP技術可以確保超大規模集成電路在高性能、高可靠性的前提下,以最低廉的成本實現封裝的尺寸最接近裸芯片尺寸。與QFP封裝相比,CSP封裝尺寸小于管腳間距為0.5mm的QFP封裝的1/10;與BGA封裝相比,CSP封裝尺寸約為BGA封裝的1/3。

當封裝尺寸固定時,若想進一步提升管腳數,則需縮小管腳間距。受制于現有工藝,不同封裝形式存在工藝極限值。如BGA封裝矩陣式值球最高可達1000個,但CSP封裝可支持超出2000的管腳。

CSP的主要結構有內芯芯片、互連層、焊球(或凸點、焊柱)、保護層等幾大部分,芯片與封裝殼是在互連層實現機械連接和電性連接。其中,互連層是通過載帶自動焊接或引線鍵合、倒裝芯片等方法,來實現芯片與焊球之間的內部連接,是CSP關鍵組成部分。

目前有多種符合CSP定義的封裝結構形式,其特點有:

CSP的芯片面積與封裝面積之比與1:1的理想狀況非常接近,絕對尺寸為32mm2,相當于BGA的三分之一和TSOP的六分之一,即CSP可將內存容量提高3~6倍之多。

測試結果顯示,CSP可使芯片88.4%的工作熱量傳導至PCB,熱阻為35℃/W-1,而TSOP僅能傳導總熱量的71.3%,熱阻為40℃/W-1。

CSP所采用的中心球形引腳形式能有效地縮短信號的傳導距離,信號衰減也隨之減少,芯片的抗干擾、抗噪性能更強,存取時間比BGA減少15%~20%,完全能適應DDRⅡ,DRDRAM等超高頻率內存芯片的實際需要。

CSP可容易地制造出超過1000根信號引腳數,即使最復雜的內存芯片都能封裝,在引腳數相同的情況下,CSP的組裝遠比BGA容易。CSP還可進行全面老化、篩選、測試,且操作、修整方便,能獲得真正的KGD(Known GoodDie已知合格芯片)芯片。

CSP封裝形式主要有如下分類

芯片級封裝的主要類型:

柔性基片CSP

顧名思義是采用柔性材料制成芯片載體基片,在塑料薄膜上制作金屬線路,然后將芯片與之連接。柔性基片CSP產品,芯片焊盤與基片焊盤間的連接方式可以是倒裝鍵合、TAB鍵合、引線鍵合等多種方式,不同連接方式封裝工藝略有差異。

硬質基片CSP

其芯片封裝載體基材為多層線路板制成,基板材質可為陶瓷或層壓樹脂板。

引線框架CSP

技術是由日本的Fujitsu公司首先研發成功,使用與傳統封裝相類似的引線框架來完成CSP封裝。引線框架CSP技術使用的引線框架與傳統封裝引線框架的區別在于該技術使用的引線框架尺寸稍小,厚度稍薄。

微小模塑型CSP

是由日本三菱電機公司提出的一種CSP封裝形式。芯片管腳通過金屬導線與外部焊球連接,整個封裝過程中不需使用額外引線框架,封裝內芯片與焊球連接線很短,信號品質較好。

晶圓級CSP

由ChipScale公司開發。其技術特點在于直接使用晶圓制程完成芯片封裝。與其他各類CSP相比,晶圓級CSP所有工藝使用相同制程完成,工藝穩定?;谏鲜鰞烖c,晶圓級CSP封裝有望成為未來的CSP封裝的主流方式。

先進封裝

堆疊封裝

堆疊封裝技術是一種對兩個以上芯片(片芯、籽芯)、封裝器件或電路卡進行機械和電氣組裝的方法,在有限的空間內成倍提高存儲器容量,或實現電子設計功能,解決空間、互連受限問題。

堆疊封裝分為定制堆疊和標準商業堆疊兩大類型:前者是通過芯片層次工藝高密度化,其設計和制造成本相對較高;后者采用板卡堆疊、柔性電路連接器聯接、封裝后堆疊、芯片堆疊式封裝等方式,其成本比采用單芯片封裝器件的存儲器模塊高平均15%~20%。應該看到,芯片堆疊式封裝的成本效率最高,在一個封裝體內有2~5層芯片堆疊,從而能在封裝面積不變的前提下,有效利用立體空間提高存儲容量,主要用于DRAM、閃存和SRAM。另外,通過堆疊TSOP可分別節約50%或77%的板級面積。

芯片堆疊封裝主要強調用于堆疊的基本“元素”是晶圓切片。

多芯片封裝、堆疊芯片尺寸封裝、超薄堆疊芯片尺寸封裝等均屬于芯片堆疊封裝的范疇。芯片堆疊封裝技術優勢在于采用減薄后的晶圓切片可使封裝的高度更低。

堆疊封裝有兩種不同的表現形式,即PoP堆疊(Package on Package,PoP)和PiP堆疊(Package in Package Stacking,PiP)。

PoP堆疊使用經過完整測試且封裝完整的芯片,其制作方式是將完整的單芯片或堆疊芯片堆疊到另外一片完整單芯片或堆疊芯片的上部。其優勢在于參與堆疊的基本“元素”為成品芯片,所以該技術理論上可將符合堆疊要求的任意芯片進行堆疊。

PiP堆疊使用經過簡單測試的內部堆疊模塊和基本組裝封裝作為基本堆疊模塊,但受限于內部堆疊模塊和基本組裝封裝的低良率,PiP堆疊成品良率較差。但PiP的優勢也十分明顯,即在堆疊中可使用焊接工藝實現堆疊連接,成本較為低廉。

PoP封裝外形高度高于PiP封裝,但是裝配前各個器件可以單獨完整測試,封裝后的成品良率較好。

堆疊封裝技術中封裝后成品體積最小的應屬3D封裝技術。

3D封裝可以在更小,更薄的封裝殼內封裝更多的芯片。按照結構3D封裝可分為芯片堆疊封裝和封裝堆疊封裝。

晶圓級封裝(WLP)

WLP的優勢:

晶圓級封裝(WLP)就是在封裝過程中大部分工藝過程都是對晶圓(大圓片)進行操作,對晶圓級封裝(WLP)的需求不僅受到更小封裝尺寸和高度的要求,還必須滿足簡化供應鏈和降低總體成本,并提高整體性能的要求。

晶圓級封裝提供了倒裝芯片這一具有極大優勢的技術,倒裝芯片中芯片面朝下對著印刷電路板(PCB),可以實現最短的電路徑,這也保證了更高的速度,降低成本是晶圓級封裝的另一個推動力量。

器件采用批量封裝,整個晶圓能夠實現一次全部封裝。在給定晶片上封裝器件的成本不會隨著每片晶片的裸片數量而改變,因為所有工藝都是用掩模工藝進行的加成和減法的步驟。

WLP技術的兩種類型:

總體來說,WLP技術有兩種類型:“扇入式”(fan-in)和“扇出式”(fan-out)晶圓級封裝。

傳統扇入WLP在晶圓未切割時就已經形成。在裸片上,最終的封裝器件的二維平面尺寸與芯片本身尺寸相同。器件完全封裝后可以實現器件的單一化分離(singulation)。因此,扇入式WLP是一種獨特的封裝形式,并具有真正裸片尺寸的顯著特點。具有扇入設計的WLP通常用于低輸入/輸出(I/O)數量(一般小于400)和較小裸片尺寸的工藝當中。

另一方面,隨著封裝技術的發展,逐漸出現了扇出式WLP。扇出WLP初始用于將獨立的裸片重新組裝或重新配置到晶圓工藝中,并以此為基礎,通過批量處理、構建和金屬化結構,如傳統的扇入式WLP后端處理,以形成最終封裝。

扇出式WLP可根據工藝過程分為芯片先上(Die First)和芯片后上(Die Last),芯片先上工藝,簡單地說就是先把芯片放上,再做布線(RDL),芯片后上就是先做布線,測試合格的單元再把芯片放上去,芯片后上工藝的優點就是可以提高合格芯片的利用率以提高成品率,但工藝相對復雜。eWLB就是典型的芯片先上的Fan out工藝,長電科技星科金朋的Fan-out,安靠(Amkor)的葡萄牙工廠均采用的芯片先上的工藝。TSMC的INFO也是芯片先上的Fan-out產品。安靠和ASE也都有自己成熟的芯片后上的Fan-out工藝。

在電子設備的發展歷史中,WLP封裝技術的推廣產生了很多全新的產品。

例如得益于WLP的使用,摩托羅拉能夠推出其RAZR手機,該手機也是其推出時最薄的手機。最新型號的iPhone采用了超過50顆WLP,智能手機是WLP發展的最大推動力。

隨著金線價格的上漲,一些公司也正在考慮采用WLP作為低成本替代方案,而不是采用引線鍵合封裝,尤其是針對更高引腳數的器件。最近幾年中,WLP也已經被廣泛用于圖像傳感器的應用中。目前,硅通孔(TSV)技術已被納入用于封裝圖像傳感器的WLP解決方案。其他更新的封裝技術也在逐漸發展,并與現有的WLP技術進行整合,例如三維(3D)集成技術。

2.5D/3D先進封裝集成工藝

新興的2.5D和3D技術有望擴展到倒裝芯片和晶圓級封裝工藝中。通過使用硅中介層(Interposers)和硅通孔(TSV)技術,可以將多個芯片進行垂直堆疊。TSV堆疊技術實現了在不增加IC平面尺寸的情況下,融合更多的功能到IC中,允許將更大量的功能封裝到IC中而不必增加其平面尺寸,并且硅中介層用于縮短通過集成電路中的一些關鍵電通路來實現更快的輸入和輸出。因此,使用先進封裝技術封裝的應用處理器和內存芯片將比使用舊技術封裝的芯片小約30%或40%,比使用舊技術封裝的芯片快2~3倍,并且可以節省高達40%或者更多的功率。

2.5D和3D技術的復雜性以及生產這些芯片的IC制造商(Fab)和外包封裝/測試廠商的經濟性意味著IDM和代工廠仍需要處理前端工作,而外包封裝/測試廠商仍然最適合處理后端過程,比如通過露出、凸點、堆疊和測試。外包封裝/測試廠商的工藝與生產主要依賴于內插件的制造,這是一種對技術要求較低的成本敏感型工藝。

三維封裝可以更高效地利用硅片,達到更高的“硅片效率”。硅片效率是指堆疊中的總基板面積與占地面積的比率。因此,與其他2D封裝技術相比,3D技術的硅效率超過了100%。而在延遲方面,需要通過縮短互連長度來減少互連相關的寄生電容和電感,從而來減少信號傳播延遲。而在3D技術中,電子元件相互靠得很近,所以延遲會更少。相類似,3D技術在降低噪聲和降低功耗方面的作用在于減少互連長度,從而減少相關寄生效應,從而轉化為性能改進,并更大程度的降低成本。此外,采用3D技術在降低功耗的同時,可以使3D器件以更高的頻率運行,而3D器件的寄生效應、尺寸和噪聲的降低可實現更高的每秒轉換速率,從而提高整體系統性能。

3D集成技術作為2010年以來得到重點關注和廣泛應用的封裝技術,通過用3D設備取代單芯片封裝,可以實現相當大的尺寸和重量降低。這些減少量的大小部分取決于垂直互連密度和可獲取性(accessibility)和熱特性等。據報道,與傳統封裝相比,使用3D技術可以實現40~50倍的尺寸和重量減少。

舉例來說,德州儀器(TI)的3D裸片封裝與離散和平面封裝(MCM)之間的體積和重量相比,可以減少5~6倍的體積,并且在分立封裝技術上可以減少10~20倍。此外,與MCM技術相比,重量減少2~13倍,與分立元件相比,重量減少3~19倍。此外,封裝技術中的一個主要問題是芯片占用面積,即芯片占用的印刷電路板(PCB)的面積。在采用MCM的情況下,芯片占用面積減少20%~90%,這主要是因為裸片的使用。

系統級封裝SiP技術

SiP是半導體封裝領域的最高端的一種新型封裝技術,將一個或多個IC芯片及被動元件整合在一個封裝中,綜合了現有的芯核資源和半導體生產工藝的優勢。SiP是為整機系統小型化的需要,提高半導體功能和密度而發展起來的。SiP使用成熟的組裝和互連技術,把各種集成電路如CMOS電路、GaAs電路、SiGe電路或者光電子器件、MEMS器件以及各類無源元件如電阻、電容、電感等集成到一個封裝體內。

自從1960年代以來,集成電路的封裝形式經歷了從雙列直插、四周扁平封裝、焊球陣列封裝和圓片級封裝、芯片尺寸封裝等階段。而小型化、輕量化、高性能、多功能、高可靠性和低成本的電子產品的總體發展趨勢使得單一芯片上的晶體管數目不再是面臨的主要挑戰,而是要發展更先進的封裝及時來滿足產品輕、薄、短、小以及與系統整合的需求,這也使得在獨立的系統(芯片或者模塊)內充分實現芯片的功能成為需要克服的障礙。這樣的背景是SiP逐漸成為近年來集成電路研發機構和半導體廠商的重點研究對象。SiP作為一種全新的集成方法和封裝技術,具有一系列獨特的技術優勢,滿足了當今電子產品更輕、更小和更薄的發展需求,在微電子領域具有廣闊的應用市場和發展前景。

SiP/SoP

近年來,隨著消費類電子產品(尤其是移動通信電子產品)的飛速發展,使得三維高密度系統級封裝(3D SiP,System in Package/SoP,System on Package)成為了實現高性能、低功耗、小型化、異質工藝集成、低成本的系統集成電子產品的重要技術方案,國際半導體技術路線(ITRS)已經明確SiP/SoP將是未來超越摩爾(More than Moore)定律的主要技術。SiP從結構方向上可以分為兩類基本的形式,一類是多塊芯片平面排布的二維封裝結構(2D SiP),另一類是芯片垂直疊裝的三維封裝/集成結構(3D SiP)。

在2D SiP結構中,芯片并排水平貼裝在基板上的,貼裝不受芯片尺寸大小的限制,工藝相對簡單和成熟,但其封裝面積相應地比較大,封裝效率比較低。3DSiP可實現較高的封裝效率,能最大限度地發揮SiP的技術優勢,是實現系統集成的最為有效的技術途徑,實際上涉及多種先進的封裝技術,包括封裝堆疊(PoP)、芯片堆疊(CoC)、硅通孔(TSV)、埋入式基板(Embedded Substrate)等,也涉及引線鍵合、倒裝芯片、微凸點等其他封裝工藝。3DSiP的基本概念正是將可能實現的多種功能集成于一個系統中,包括微處理器、存儲器、模擬電路、電源轉化模塊、光電器件等,還可能將散熱通道等部件也集成在封裝中,最大程度的體現SiP的技術優勢。

系統級封裝技術可以解決目前我們遇到的很多問題,其優勢也是越來越明顯,如產品設計的小型化、功能豐富化、產品可靠性等,產品制造也越來越極致,尤為重要的是,提高了生產效率,并大幅降低了生產成本。當然,難點也是存在的,系統級封裝的實現,需要各節點所有技術,而不是某一技術所能實現的,這對封裝企業來說,就需要有足夠的封裝技術積累及可靠的封裝平臺支撐,如高密度模組技術、晶圓級封裝技術等。

多芯片組件(MCM)

多芯片組件(MCM)屬于系統級封裝,是電子封裝技術層面的大突破。MCM是指一個封裝體中包含通過基板互連起來,共同構成整個系統的封裝形式的兩個或兩個以上的芯片。并為組件中的所有芯片提供信號互連、I/O管理、熱控制、機械支撐和環境保護等條件。根據所用多層布線基板的類型不同,MCM可分為疊層多芯片組件(MCM-L)、陶瓷多芯片組件(MCM-C)、淀積多芯片組件(MCM-D)以及混合多芯片組件(MCM–C/D)等。

多芯片封裝技術從某種程度上而言可以減少由芯片功能過于復雜帶來的研發壓力。由于多芯片方案可以使用完全獨立的成熟芯片搭建系統,無論從成本角度還是從技術角度考慮,單芯片方案的研發難度遠大于多芯片方案?,F階段產品發展的趨勢為小型化便攜式產品,產品外部尺寸的縮小將壓縮芯片可用布線空間,這就迫使封裝技術改善封裝的尺寸來適應更小型的產品。

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 半導體
    +關注

    關注

    329

    文章

    25238

    瀏覽量

    205288
  • 晶圓
    +關注

    關注

    52

    文章

    4636

    瀏覽量

    126680
  • 封裝技術
    +關注

    關注

    12

    文章

    505

    瀏覽量

    67815
  • 制造工藝
    +關注

    關注

    2

    文章

    162

    瀏覽量

    19578

原文標題:半導體封裝技術解析

文章出處:【微信號:半導體封裝工程師之家,微信公眾號:半導體封裝工程師之家】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    半導體封裝技術解析大全

    半導體制造的工藝過程由晶圓制造(Wafer Fabr ication)、晶圓測試(wafer Probe/Sorting)、芯片封裝(Assemble)、測試(Test)以及后期的成品(Finish Goods)入庫所組成。
    發表于 03-09 18:23 ?2635次閱讀

    #半導體封裝 #ic板載

    半導體封裝
    jf_43140676
    發布于 :2022年10月21日 12:31:01

    半導體封裝數據分析

    本帖最后由 eehome 于 2013-1-5 09:52 編輯 請教關于JMP在半導體封裝數據分析中的使用案例,希望高手能多多指教。
    發表于 11-20 16:01

    招聘半導體封裝工程師

    半導體封裝工程師發布日期2015-02-10工作地點北京-北京市學歷要求碩士工作經驗1~3年招聘人數1待遇水平面議年齡要求性別要求不限有效期2015-04-16職位描述1、半導體光電子學、微電子
    發表于 02-10 13:33

    半導體封裝行業用切割片

    `蘇州賽爾科技有限公司,是一家致力于研究、生產、開發和銷售于一體的高科技公司,專門為半導體及光學玻璃行業提供專用的超精密金剛石和CBNH工具,公司專業生產半導體封裝行業專用切割刀片,已于國內知名
    發表于 10-21 10:38

    我國半導體封裝業發展狀態和方略

    完善IC產業鏈出發調整相關政策,鼓勵發展新型電子設備、電子材料;對于高起點、高技術的產品予以優惠政策使其產業化、避免低水平的重復建設,以此推動我國半導體封裝業的發展。3.3 注重新事物新技術
    發表于 08-29 09:55

    淺析化合物半導體技術

    、日本等國家和組織啟動了至少12項研發計劃,總計投入研究經費達到6億美元。借助各國***的大力支持,自從1965年第一支GaAs晶體管誕生以來,化合物半導體器件的制造技術取得了快速的進步,為化合物半導體
    發表于 06-13 04:20

    半導體技術天地

    請教下以前的[半導體技術天地]哪里去了
    發表于 08-04 17:03

    氮化鎵功率半導體技術解析

    氮化鎵功率半導體技術解析基于GaN的高級模塊
    發表于 03-09 06:33

    半導體光刻技術基本原理

    ,小米9pro,oppo Reno3以及vivo X30)分別采用了什么芯片? 3協同通信的方式有哪些? 4大數據及認知無線電(名詞解釋) 4半導體工藝的4個主要步驟: 4簡敘半導體光刻技術基本原理 4給出4個全球著名的
    發表于 07-26 08:31

    半導體芯片的制作和封裝資料

    本文檔的主要內容詳細介紹的是半導體芯片的制作和半導體芯片封裝的詳細資料概述
    發表于 09-26 08:09

    半導體封裝,半導體封裝是什么意思

    半導體封裝,半導體封裝是什么意思 半導體封裝簡介:
    發表于 03-04 10:54 ?1.2w次閱讀

    半導體封裝技術大全

    半導體封裝技術大全
    發表于 03-04 13:55 ?5801次閱讀

    半導體封裝技術研究

    本文以半導體封裝技術為研究對象,在論述半導體封裝技術及其重要作用的基礎上,探究了現階段
    的頭像 發表于 05-16 10:06 ?581次閱讀

    半導體先進封裝技術

    共讀好書 半導體產品在由二維向三維發展,從技術發展方向半導體產品出現了系統級封裝(SiP)等新的封裝方式,從
    的頭像 發表于 02-21 10:34 ?484次閱讀
    <b class='flag-5'>半導體</b>先進<b class='flag-5'>封裝</b><b class='flag-5'>技術</b>
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>