0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學(xué)習在線(xiàn)課程
  • 觀(guān)看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區
會(huì )員中心
創(chuàng )作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內不再提示

Quartus軟件使用技巧—無(wú)需全編譯更新mif文件

駿龍電子 ? 來(lái)源:駿龍電子 ? 作者:駿龍電子 ? 2022-12-20 14:36 ? 次閱讀

隨著(zhù)器件容量的增大,設計復雜度的增加,用戶(hù)在使用 Quartus 軟件工程全編譯時(shí),與以往相比要耗費更長(cháng)的時(shí)間。目前在 Arria10,Stratix10 等器件上,資源消耗在 50% 以上的設計,通常需要幾個(gè)小時(shí),甚至十幾個(gè)小時(shí)的編譯時(shí)間,才可以生成燒寫(xiě) sof 文件。這極大地降低了工程師的工作效率與調試速度。

在應用與調試的過(guò)程中,可能會(huì )有需要使用 rom IP 資源存儲一些原始配置數據、測試激勵數據等應用,隨時(shí)改變 mif 文件里面的初始值的需求。本文為大家介紹在不需要重新編譯工程的情況下,如何更新已經(jīng)編譯成功的 sof 文件中 mif 文件的內容。

設置 mif 原始文件

根據 mif 文件格式要求,創(chuàng )建 mif 文件,存入原始數據。mif 文件格式與數據,如下圖 (圖1) 所示:

917fca60-802c-11ed-8abf-dac502259ad0.jpg

圖1 mif 文件格式與數據

將 mif 文件的路徑映射到對應的 rom IP 中,如下圖 (圖2) 所示。并將工程全編譯生成 sof 文件,正常使用。

919eede6-802c-11ed-8abf-dac502259ad0.jpg

圖2 mif 文件路徑映射

更新 mif 原始文件內容

將 mif 文件的內容做替換,要注意路徑一致,不需要重新生成 IP,且文件名字不能發(fā)生變化。然后在 Quartus 軟件界面的 Processing 選項下面選擇 updata memory initialization file 選項,如下圖 (圖3) 所示:

91ce9cd0-802c-11ed-8abf-dac502259ad0.jpg

圖3 Quartus 軟件更新 mif 文件

等待軟件自動(dòng)更新,顯示更新對應的 mif 文件成功以后,如下圖 (圖4) 所示。再重新執行 Assembler (generate programming file),生成新的 sof 文件即可。

9203cb1c-802c-11ed-8abf-dac502259ad0.jpg

圖4 Quartus 軟件更新 mif 狀態(tài)

總結

隨著(zhù)器件容量的增大,FPGA 軟件編譯時(shí)間動(dòng)輒幾個(gè)小時(shí)。在無(wú)需重新編譯工程的情況下,僅更新 mif 文件,能夠較大地提高了研發(fā)工程師的效率,這也體現了新版本 Quartus 軟件人性化的功能。

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權轉載。文章觀(guān)點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習之用,如有內容侵權或者其他違規問(wèn)題,請聯(lián)系本站處理。 舉報投訴
  • 文件
    +關(guān)注

    關(guān)注

    1

    文章

    541

    瀏覽量

    24462
  • quartus
    +關(guān)注

    關(guān)注

    16

    文章

    169

    瀏覽量

    74334
  • 編譯
    +關(guān)注

    關(guān)注

    0

    文章

    626

    瀏覽量

    32468
  • MIF
    MIF
    +關(guān)注

    關(guān)注

    0

    文章

    4

    瀏覽量

    6081

原文標題:Quartus 軟件使用技巧 — 無(wú)需全編譯更新 mif 文件

文章出處:【微信號:駿龍電子,微信公眾號:駿龍電子】歡迎添加關(guān)注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    quartus2 mif文件生成軟件(各種波形、任意點(diǎn)數)

    quartus2 mif文件生成軟件,可生成各種波形、任意點(diǎn)數,并且能手動(dòng)畫(huà)圖
    發(fā)表于 08-12 09:08

    關(guān)于Quartus II中ROM初始化數據.mif格式文件,在Modelsim中仿真...

    各位大哥;小弟最近剛學(xué)FPGA,有個(gè)問(wèn)題不懂,希望各位路過(guò)的大哥不吝賜教!我在Quartus II中調用了一個(gè)lpm_rom,用存放正弦波數值的.mif 格式文件去初始化rom.編好
    發(fā)表于 03-24 18:02

    quartus2的rom定制后mif文件被自動(dòng)改寫(xiě)怎么解決

    ,0e,0c....這樣的數據怎么解決是我的軟件安裝有問(wèn)題呢還是設置哪里出錯了已解決:方法是將mif文件屬性改成只讀
    發(fā)表于 05-03 13:04

    小梅哥和你一起深入學(xué)習FPGA之mif文件的制作

    要用到的mif文件了。打開(kāi)quartus II軟件,選擇file—>New,在打開(kāi)的選項卡中,選擇Memory Initialization File,點(diǎn)擊OK。在彈出的
    發(fā)表于 11-10 00:01

    我的quartus ii打不開(kāi).mif文件為什么呀

    我用matlab生成的dds1.mif文件,用quartus ii打不開(kāi),matlab代碼如下:width=10;%數據寬度為12位;N=0:1: ;s=sin(pi*N/2048); %計算0
    發(fā)表于 09-20 15:37

    quartus 編譯顯示沒(méi)有ip核權限,生成的pof文件是time-limited

    quartus 編譯顯示沒(méi)有ip核權限,生成的pof文件是time-limited,然后我在網(wǎng)上找了一個(gè)ip權限的licsense文件,H
    發(fā)表于 01-16 20:16

    替換或修改ROM的mif文件是否需要重新編譯程序?

    想求問(wèn)一下,對于一個(gè)工程,如果只替換了ROM的mif文件或者修改了mif文件,是否需要重新編譯
    發(fā)表于 03-23 14:45

    【工程源碼】基于FPGA的Mif精靈/mif(coe)文件生成器

    直接生成我們常用的mif(或者coe)文件,先來(lái)張軟件運行截圖:我們可以通過(guò)單擊Altera或Xilinx來(lái)選擇生成對應的FPGA廠(chǎng)商的rom初始化文件,Altera的rom初始化
    發(fā)表于 02-14 18:07

    基于FPGA的mif文件怎么創(chuàng )建?

    本文詳細討論了基于FPGA的mif文件創(chuàng )建與使用,對于mif文件創(chuàng )建與使用均給出了兩種可行性的方法。mif
    發(fā)表于 05-06 06:04

    基于FPGA的mif文件創(chuàng )建與使用

     mif文件的創(chuàng )建與使用是在基于FPGA的系統設計中引入ROM的關(guān)鍵環(huán)節。對mif文件的創(chuàng )建與使用展開(kāi)詳細討論,給出兩種可行性方法,并引入實(shí)例在MAX+PLUS Ⅱ環(huán)境下做了
    發(fā)表于 12-13 17:47 ?42次下載

    Altera發(fā)布Quartus II軟件9.1,延續了2到3

    Altera發(fā)布Quartus II軟件9.1,延續了2到3倍的編譯時(shí)間優(yōu)勢 Altera公司宣布推出QuartusII軟件9.1——在CPLD、FPGA和HardCopy ASIC
    發(fā)表于 11-05 09:42 ?1006次閱讀

    Altera交付14.0版Quartus II軟件,其編譯時(shí)間業(yè)界最快

    2014年7月1號,北京Altera公司(Nasdaq: ALTR)今天發(fā)布Quartus II軟件14.0版FPGA業(yè)界性能和效能首屈一指的軟件。Altera的這一最新版軟件
    的頭像 發(fā)表于 02-11 13:37 ?4648次閱讀

    【技能秒get】如何使用MATLAB一鍵制作mif文件

    這里講解實(shí)現一個(gè)16384(2^14)點(diǎn)的14位正弦波數據mif格式文件的生成...
    的頭像 發(fā)表于 05-09 15:22 ?6639次閱讀
    【技能秒get】如何使用MATLAB一鍵制作<b class='flag-5'>mif</b><b class='flag-5'>文件</b>

    關(guān)于QuartusⅡ10.1中NIOS2軟核的構建、軟件編譯及程序固化

    關(guān)于QuartusⅡ10.1中NIOS2軟核的構建、軟件編譯及程序固化 一、硬件開(kāi)發(fā)1、構建CPU模塊2、構建EPCS控制器,SYSTEM ID模塊,JTAG UART模塊3、構建RAM模塊
    發(fā)表于 11-30 18:06 ?10次下載
    關(guān)于<b class='flag-5'>Quartus</b>Ⅱ10.1中NIOS2軟核的構建、<b class='flag-5'>軟件</b><b class='flag-5'>編譯</b>及程序固化

    MIF文件生成器下載

    MIF文件生成器,可以依據自己需要生成正弦波、三角波、鋸齒波、方波的MIF文件。自定義寬度、點(diǎn)數、初始相位。
    發(fā)表于 02-15 11:56 ?18次下載
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看