<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

月薪5K單片機工程師逆襲2w+:明白這一點,你的人生也能開掛!

潘文明 ? 2022-12-19 10:07 ? 次閱讀

常??吹竭@樣的觀點:

1.只要你夠堅持,總有一天會成功;

2.只要你夠勤奮,月薪過萬很輕松;

3.只要你夠努力,老天一定不會辜負你……

你是不是很認同這樣的說法?

恭喜你,又被忽悠了!

你有沒有想過:明明你很努力,別人卻輕而易舉成功,為什么你卻不能?

你辛苦背一天單詞,合上書就忘光,別人只花1小時就牢記不忘;

你在考前熬夜復習,最后還是掛科,室友卻輕松穩拿高分……

你每天加班累成狗,比你晚來的小強,準時下班卻升為主管;

這個時代,最不缺的就是廉價的勤奮。

其實,我們欠缺的是一種學習的捷徑,就是學習方法。學習方法是學習效率的基礎,是成功引擎發動機!

我是一位單片機工程師

我是一名單片機工程師,一次偶然的機會領導讓我參與做 FPGA 項目,從那時起對 FPGA 有著新的認識,想盡辦法學好它。清晰的記得那天剛接觸 FPGA 是工程師畫的一塊板子有幾處錯誤。老大讓我研究摸索那塊板子,于是我就玩起開發板。按照網上的資料寫了一個 LED 燈,用 JTAG 下載進去,居然亮了。從此我就看關注網上 FPGA 的相關資料,一是熱愛源賴于公司用到 FPGA, 有專門開發 FPGA 工程師。二是想通過學好 FPGA 項目,提高自己專業技能,在行業中能一席之地,不容易被人企業淘汰,提高競爭力。

理想很豐滿,但現實很骨感……

剛接觸 FPGA 開發板的時候,就發覺蠻多問題,總是遇到這樣或那樣的問題,也不知道如何解決是好?其中也很想放棄過,覺得 FPGA 這個行業不太適合我,因為跟單片機工程師難度大很多, FPGA 工程師邏輯思維推理能力要非常強,才能做好這份工作。比如自己畫 FPGA 板子,用的是 EP4CE22E22 。為了方便焊接選了一個 LQFP 封裝的。結果錯誤出現了。芯片底下的熱風焊盤沒有畫,加上電, FPGA 居然燒了。第一版沒成。第二版。第二版只畫了 JTAG 口,但是 FPGA 與串行 flash 沒有連接。所以 AS 下載的時候總是彈出錯誤,說下載線出錯。郁悶了好久。管腳太多。沒辦法一條一條核對……

后來我遇到了他——我的師兄,改變了我的一生!

24cd7e224ea84c7d875f6520360e2618~noop.image?_iz=58558&from=article.pc_detail&x-expires=1671847564&x-signature=Ny2EAyfLHCk%2Bm4D85dhrVrGXpBw%3D

潘文明,暨南大學通信信息系統專業碩士, 在集成電路研究方向上屬學霸級人物?,F任明德揚科技教育有限公司總經理、廣州敏道信息科技有限公司理論研究名譽所長、東莞市瑞航信息科技有限公司高級技術顧問,主攻FPGA實用型人才培訓,致力于中國芯片人才培養,決心振“芯”中國英才庫。

潘文明先生先后于中國電子科技集團第七研究所和華為海思工作。期間參與了跳頻-OFDM系統、超高速網絡芯片、工業以太網相機等大型項目研發,擁有豐富的FPGA、芯片設計經驗。在國內一流IT企業工作期間,他意識到中國芯片領域多數還停留在應用方面,核心技術也就是芯片開發方面,與世界一流水平有不小差距,遂立志獻身于芯片教育領域,為中國芯片人才的培養盡拳拳赤子之心。

是在條件優渥的環境中繼續工作,還是用所學本領為中國芯片發展開疆拓土?潘文明用實際行動回答。2013年,他放棄華為海思的再三挽留和高薪待遇,創立明德揚科技教育有限公司?!澳転閲遗囵B世界一流的芯片人才,在祖國做出一些前沿科技的突破,意義完全不同?!迸宋拿鞯榔破渲芯売?。

在潘文明看來,藍圖再宏偉,也要一步一個腳印走,而這最為關鍵的第一步,他選擇了從事芯片教育。早在求學期間,他所作的畢業論文《DES加密芯片的研制及其實現》問世即受到廣泛關注,被諸多專業人士作為文獻參考,如《基于NFC芯片的的防偽溯源系統》《異構數控機床群控系統關鍵技術研究及應用 》等論文所引用。創辦明德揚科教后,他結合多年的理論研究和豐富項目實戰經驗,總結提煉出高效簡單實用的《潘氏至簡設計法》,開發《點撥FPGA教程》、《至簡設計法教程》等系列視頻教程,他所編寫出版的系列相關專業論文和書籍,具備非常鮮明的深入淺出、專業實用、語言簡練的特征,深獲業內眾多資深人士認可FPGA學習者喜愛。眾多學員學習后進入世界500強企業工作,更是側面證實了其實用、高效、易學、便捷。2017年主創的《手把手教你FPGA設計-基于大道至簡的至簡設計法》由北京航天航空出版社出版也獲得廣泛關注。除此之外,潘文明先生和他的IT精英團隊在芯片教育理論、FPGA理論研究、應用技術創新、項目開發、計算機軟硬件技術開發、系統集成、網絡技術開發、信息技術咨詢等范圍也取得了一系列優異成績。

面對成就,潘文明不忘初心,沒有驕傲自滿止步不前,始終以中國民族芯片業屹立世界之巔為抱負,率領團隊繼續在中國芯片教育領域砥礪前行。

他說,這是他一生中最有價值也將繼續創造價值的工作!

我跟他交流學習 FPGA 方法、如何做好 FPGA 項目、對新人初學 FPGA 有啥建議等。他在 FPGA領域工作研究好多年,研發出 “ 明德揚至簡設計法 ” ,

靠著這套學習系統的加持,我的人生一路開掛逆襲,三個月我就可以順利完成公司的FPGA項目。領導對我刮目相看,贊不絕口,升為研發部主管,薪資直接double+。

我比任何人,都更加堅定不移地相信:學習是有捷徑的,掌握好的方法,可以少走彎路。

那么,重點來了?。?!新手同學如何學好 FPGA呢 ?我將潘老師的建議整理出來給到大家,避免大家少走彎路。

首先,初學者一開始就要盡快學完核心知識。

什么是核心知識?就是做任何項目都必須用到的基礎知識,那么什么是核心知識?

1. verilog 。verilog 中時序邏輯和組合邏輯寫法、運算符、企業設計規范、例化方法等就是核心知識;模塊結構、信號類型等是識記內容,理解就可以的;function 、 task 、讀寫文件等就純粹沒必要學了。

2. 測試文件。編寫代碼后,必須對代碼仿真,這個時候就要編寫測試文件了。那么要懂得時鐘和復位的產生方法、信號產生方法、例化等是核心知識,其他內容就沒啥必要的。

3. modelsim。modelsim 是仿真工具,新建工程、編譯工程、解決提示的錯誤、仿真工程、查看波形、定位問題和解決問題等是核心知識,其他工具中更高級的功能真沒必要學先。

4. quartus。當仿真正確后,就要加載工程到板子上跑跑了。quartus 的新建工程、綜合、配置管腳、下載工程等就是核心知識,會這幾步驟就可以了。

5. signaltap。當電路上板后,發現現象不對,此時就需要 signaltap 去查看芯片內部發生了什么事。signaltap 原理、設置、觸發條件等設置就是核心知識。要懂得如何通過 signaltap 去定位問題。

6. 至簡設計法學習。經過前面幾步,相信你可以把已有的工程下載到板上看現象了。但你此時還沒能力做設計,不懂得如何下手。這時要學習至簡設計法。它會教你如何一步一步傻瓜似去完成一個復雜電路的設計,里面很多有實用技巧,熟練運用這些技巧,有助于你寫出非常優秀的代碼。

盡快掌握以上 6 點知識,你就越快學好 FPGA ,非核心知識,用到什么學什么,這是明德揚一貫的學習觀點。這些知識只有遇到了,才會有深刻的印象。很多人又說,我都沒項目做,那豈不是不要學了?幸好潘老師都想到這個情況,把項目中遇到的問題,都提煉成系統的練習題,供同學們實訓,這樣就保證了學員能夠學到真正技能,真正的本事了。

掌握至簡設計法過的是不一樣的人生

4c4e869cb0ce46f682c7a6c93bc4ecf7~noop.image?_iz=58558&from=article.pc_detail&x-expires=1671847564&x-signature=Xy7cGGERISq%2Ft4Lpdze83fWM9eI%3D

9b81d7d77d1446009236c027b9715c8d~noop.image?_iz=58558&from=article.pc_detail&x-expires=1671847564&x-signature=bFGianTOkxmo2%2B0Y5TRymVRUxQY%3D

我叫*明,目前在佛山一家公司擔任FPGA設計工程師,月薪15k。以前在學校學習時也挺努力的,老師上課我也非常認真聽,認真做筆記??墒且膊恢罏槭裁匆恢钡搅舜笕?,我還是處于一種很茫然的狀態,就是感覺自己好像什么都會,都懂,但是真的給我一個項目,卻怎么也做不出來。我一度對自己感覺很失望,懷疑自己是不是太笨了,或者FPGA根本不是我的菜。偶爾有一次在網上看到了明德揚的視頻,當時靈光一閃,有戲!于是我報名參加了明德揚的就業培訓班,突然感覺像在武俠小說里,有位絕世高手幫我打通了任督二脈!在全實戰項目的學習環境下,僅僅兩個多月,我就掌握了至簡設計法。最主要的是,這不是一個簡單的接口或者技巧,而是一個適用于所有項目的方法。掌握這種方法,再大的項目也不在話下。我想說的是:明德揚《潘文明至簡設計法》,真正的絕世武功,讓你笑傲江湖!

4cdf912c5f57453fa8dd3d39d12d959d~noop.image?_iz=58558&from=article.pc_detail&x-expires=1671847564&x-signature=vPu3sum3KyuRfsKkUR14E7Nf8O4%3D

我叫*媛,目前在華為擔任FPGA設計工程師,月薪12k。大家看我頭像也知道我是女生啦。大2的時候,當我說要學FPGA時,好多人勸我。有說FPGA太需要邏輯思維,女生不合適;有說FPGA太難,女生學不會;有說FPGA工程師都是男的,女生學會了也不一定找得到工作,類似種種不一而足。當時只有一位已經參加工作了的師哥支持我,他在QQ上告訴我如果你真的決定了學FPGA,你就去找明德揚。于是我參加了明德揚的就業班培訓。令我最驚喜的是這里就像請了專職家教一樣,在老師一對一輔導下,我完全能獨立地完成設計項目,順利畢業了?,F在,我已經在華為技術部上班,成為了一名女工程師。偷偷地告訴你們,特別是給師妹們一個秘密:女孩子當工程師有個很大的好處,因為女孩子少,咱們在公司可是焦點哦。 我想告訴大家:有了明德揚,FPGA一點也不難!

c5a31bd22fb5426197d43936bb2e7798~noop.image?_iz=58558&from=article.pc_detail&x-expires=1671847564&x-signature=XNPe8WEBGJcLhXUpSihtZe0hecI%3D

我是*強,目前在深圳市邁德視科技有限公司擔任FPGA工程師,月薪16k。我的叔叔就是這個行業的,讀大一的時候他就讓我參加培訓班,并推薦了明德揚。當時我很奇怪覺得應該先打基礎,再說我在學校難道學不會嗎?他只是簡單的說了一句“你別管那么多,聽我的沒錯?!蔽艺嬲诿鞯聯P網絡培訓班學習后才發現,明德揚和學校的課程區別太大了。學校里面基本上都是理論性通用性的,而且大課堂授課形式也不可能說具體深入的內容;而明德揚全部都是實用性的,精簡了所有實際中用不到的知識,并且是導師一對一輔導。明德揚的目標很明確:通過培訓,讓學員能完全獨立自主地設計項目。就這樣,大一還沒結束,我已經完全能自己設計FPGA項目。大二時,叔叔由于太忙,讓我幫了幾個項目。在明德揚老師的跟蹤輔導下,一個項目之后,我已經可以駕輕就熟地完成剩下的了。當我把項目設計交給叔叔時,他笑瞇瞇地說要給我卡上轉一筆錢,并告訴我這是我自己的勞動所得。之后,在學校時還斷斷續續做了一些項目??梢哉f,我的學費和生活費此后都沒讓家里操過心。最重要的是,大學畢業時,我已經是一個有豐富經驗的FPGA工程師,我需要做的不是去找工作,而是去選工作。我想說的是,來明德揚學習FPGA,學的越早越好,別耽誤自己的時間!

200450d6a64d431e815a311e4b724e34~noop.image?_iz=58558&from=article.pc_detail&x-expires=1671847564&x-signature=fhjf9A2rTzowJmZd60ygX%2BJyoFQ%3D

我叫*逐濤,目前在蘇州欣華銳電子有限公司擔任FPGA工程師,月薪14k。以前在深圳一家企業做硬件工程師,上了兩三年班,盡管我非常努力,公司也給我漲過工資,不過還是不太滿意。我了解了一下具體的行業薪資行情,發現硬件工程師月薪也就跟自己的差不多了,沒什么上升空間;但是,FPGA工程師工資倒是挺高的。開始我采用自學的方式摸索了大半年的時間,卻完全掌握不到要領,心想怪不得FPGA工程師工資高,原來因為太難了。后來,朋友給我推薦了明德揚,而且明德揚開有周末班,于是我就報名參加了。潘老師的授課內容全部都是我以前苦思不得其解的內容。原來FPGA竟然這么簡單!很快地,我就從明德揚畢業,現在如愿以償地做了FPGA設計工程師,也得到了理想的薪金。 我想告訴大家:來明德揚學FPGA,又快又好,不要再猶豫了。

2439898feee0428a80c42d7c2f7de045~noop.image?_iz=58558&from=article.pc_detail&x-expires=1671847564&x-signature=mCwhxT3Nb4awQ7%2BqKRqjjvmDJA8%3D

我叫*智,目前在AMD擔任FPGA工程師,月薪19k。說起來,我來明德揚是因為一次“賭局”。從事過FPGA設計過的朋友都知道,仿真和調試工作是非常繁瑣和耗時的,不過習以為常也就無所謂了。有一次一個大學同學來看我,當時我正在加班,就是在仿真和調試。我說我快搞完了,再等一下。他在旁邊看了一會就笑我說,你這么做不累嗎?這個項目根本不要仿真調試的。大學時他真的不如我,何況在我們公司大家都是這么做的,0仿真0調試可能嗎?我當然不信了,兩人就打起賭來了。結果我同學指了幾個地方,說如果代碼是怎么怎么寫,就不存在哪里哪里的仿真調整有問題。我仔細一看心里驚叫:天??!幾天不見,他怎么脫胎換骨了!是的,我賭輸了。不過,這場賭博我這個輸家卻是贏得最多的,因為從同學口里我知道了明德揚,知道了潘文明至簡設計法。三個月后,我從明德揚就業班畢業了。作為公司設計團隊里唯一一個設計項目0仿真0調試的設計師,我受到了公司的高度重視,工資也從原來的10k調到了現在的19k。我想說的是:來明德揚,高級講師帶你飛,從菜鳥直達技術大牛!

列舉不完的案例…………

10000+名學員驗證有效的實用方法

初學者、有經驗的工程師都適用

FPGA/ASIC邏輯設計高手修煉課

本課程的教學目標:

通過教授至簡設計法技巧,解決不會設計,無思路,無規范,無邏輯的問題,并通過專項訓練的方式,提高和訓練FPGA和ASIC的代碼設計能力。

2

FPGA/ASIC實用調試技巧培訓教程

本課程的教學目標:

通過明德揚的定位問題的方法,解決大家在仿真和調試時遇到問題慌亂無助的問題。教大家一個“任何問題都能找到”的定位問題方法和思路,并通過多個案例,掌握定位問題和解決問題的最佳方法。

3

FPGA架構師之FIFO架構設計培訓教程

本課程的教學目標:

通過教授明德揚FIFO架構設計八步法,并輔以實訓題,幫助大家快速掌握FIFO設計方法,快速成為FPGA架構師。

4

項目實踐:溫度檢測綜合工程

本課程是FPGA學習進階的第一個項目工程,通過本工程,您將可以學到:

1. 將至簡設計法運用到項目的能力

2. 初步的架構設計和模塊劃分技巧

3. 上位機和FPGA的交互命令架構

4. 體驗真實項目中的設計、仿真和調試過程

5. 為接下來的的更大更復雜項目打下基礎

5

項目實踐:邊緣檢測綜合工程

本課程是FPGA學習進階的第二個項目工程,通過本工程,您將可以學到:

1. 將至簡設計法運用到項目的能力

2. 初步的架構設計和模塊劃分技巧

3. 高斯濾波、SOBEL等圖像算法的實現

4. 為接下來的的更大更復雜項目打下基礎

6

項目實踐:千兆網綜合工程

本課程是FPGA學習進階的第三個項目工程,通過本工程,您將可以學到:

1. 將至簡設計法運用到項目的能力

2. 初步的架構設計和模塊劃分技巧

3. UDP、ARP等網絡協議與實現

4. 千兆網等調整接口

5. FIFO的熟練應用

6. 為接下來的的綜合性課程或項目打下堅實基礎

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21358

    瀏覽量

    594369
  • 單片機
    +關注

    關注

    6008

    文章

    44062

    瀏覽量

    622636
  • 工程師
    +關注

    關注

    59

    文章

    1553

    瀏覽量

    67588
  • JTAG
    +關注

    關注

    6

    文章

    385

    瀏覽量

    71196
收藏 人收藏

    評論

    相關推薦

    答應我!單片機工程師快來試

    單片機工程師
    WT-深圳唯創知音電子有限公司
    發布于 :2024年05月23日 16:58:56

    高速板材為什么貴?單看這一點你們就明白了!

    標配的是HVLP3的銅箔,我們再來看看兩種銅箔的RZ值的對比哈! 那到底這些冷冰冰的數據能不能量化成具體的損耗值呢?OK,仿真可以輕松的做到這一點。我們在兩種板材上所走傳輸線的線寬相同的情況下來做個
    發表于 04-09 10:43

    單片機如何通過代碼控制硬件:一名工程師的分享

    今天跟大家聊聊單片機是怎樣通過代碼來操控硬件的。作為一名單片機工程師,我們平時的工作就像是給單片機編寫“指令集”,讓它按照我們的意圖去驅動各種硬件設備。
    的頭像 發表于 03-06 14:46 ?636次閱讀
    <b class='flag-5'>單片機</b>如何通過代碼控制硬件:一名<b class='flag-5'>工程師</b>的分享

    NUC240 CAN接口支持5K嗎?

    CAN接口使用uint32_t CAN_Open(CAN_T *tCAN, uint32_t u32BaudRate, uint32_t u32Mode)函數配置5000bps是返回27645bps請教使用那些方法可以配置CAN為5K速率如何配置? M240 芯片 的CAN接口支持
    發表于 01-15 07:14

    為何大廠急招鴻蒙開發工程師?別有洞天

    開發工程師形成了搶人模式。 鴻蒙帶動就業崗位 在互聯網大廠帶動下,鴻蒙開發者數量激增,近期鴻蒙開發者新增注冊過萬。招聘市場上,鴻蒙開發相關崗位月薪達到40-70k。鴻蒙千帆起,此次鴻
    發表于 01-08 19:59

    塊PCB板從電路設計到制造生產,這一點不容忽略!

    ,忽略了對可制造性和可裝配性的預處理,往往就會導致生產過程中出現諸多問題,影響產品品質和效率,甚至可能導致產品設計失敗。 由此可見,DFM是為我國PCB制造生產保駕護航的有效手段之,設計工程師需要重視
    發表于 12-26 16:00

    【熱招】蘇州,單片機工程師

    單片機工程師】 3年及以上經驗,要求有智能產品經驗。 崗位職責: 1、根據MRD,與產品部等部門的需求,負責對新開發的產品進行可行性分析,主要負責分析產品的軟件可實現性; 2、根據產品需求,完成
    發表于 11-28 14:02

    求助,關于單片機工作電壓問題

    工作電壓: stm8s 2.95-5.5V STM32F 2.0-3.6 STC15W 2.4-5.5 為什么ST公司的單片機工作電壓般最高都只有3.6V,只有STM8范圍稍寬,而
    發表于 10-25 07:00

    【社區之星】彭仲維:扎實的基本功與時間的沉淀才是工程師成功的關鍵!

    入了電子行業。 社區小助手:作為名從業多年的工程師,能否分享的工作經歷和些比較成功的工程項目或優秀作品?開發這個產品的整個過程,有什么
    發表于 10-13 14:15

    《電子工程師必備——九大系統電路識圖寶典》+附錄5學習方法

    jf_39110170 網名“還沒吃飯”閱讀《電子工程師必備 九大系統電路識圖寶典第2版》附錄5的讀后感:探索科學學習方法 作為名對電子技術學科充滿熱情的嵌入式
    發表于 10-06 23:25

    51單片機工程模板創建方法

    本教程將向大家介紹如何使用KEIL C51 軟件以及如何創建51 單片機工程。通過本教程的學習,讓大家創建屬于自己的51 單片機工程模板,為后面學習51 單片機編程做好鋪墊。
    發表于 07-15 15:27 ?3510次閱讀
    51<b class='flag-5'>單片機工程</b>模板創建方法

    《電子工程師必備——元器件應用寶典》+出彩人生

    吾與2023年6月15日收到了活動方快遞過來的書,首先感謝活動主辦方電子愛好者網站,電子發燒友論壇不吝賜書。電子愛好者網站已經成為眾多電子工程師成功進步的階梯。想要技術進步打卡電子愛好者網站是
    發表于 06-27 21:48

    求助,為何作為USB從設備時,M4521能免晶振,而M452沒說這一點?

    想請教下為何作為USB從設備時,M4521能免晶振,而M452沒說這一點? 如果作為從設備,M452不使用晶振穩定嗎? 求各位大神指點下。
    發表于 06-19 07:47
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>