<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

用于解碼器IP設計和合規性驗證的比特流和軟件工具鏈DVK

哲想軟件 ? 來源:哲想軟件 ? 作者:哲想軟件 ? 2022-10-08 11:06 ? 次閱讀

DVK是用于解碼器IP設計和合規性驗證的比特流和軟件工具鏈。它是芯片RTL設計、目標設備集成(如智能手機、智能電視、機頂盒)、發貨給客戶前的軟件堆棧驗證的必備工具。它經過精心構造,盡可能緊湊,在設計過程中實現最大覆蓋范圍并最小化驗證時間。

DVK 定義

VQ DVK 的意思是:

視頻解碼器認證

一套全面的測試比特流、可配置的編碼器和分析工具,用于驗證解碼器是否符合標準以及圖形報告

適用于VVC、AVS3、AV1、HEVC、VP9解碼器開發人員的強大驗證環境

允許將測試解碼器的輸出與“已知正確”結果進行比較的工具

一種旨在確定解碼器設計異常以節省驗證和支持成本的工具

我們為每個編解碼器提供不同的工具- VVC、AV1、HEVC、VP9。它們中的每一個在一組流和它們的數量上都是不同的。例如,VQDVK VVC 由以下比特流組組成:

語法 -方便的小尺寸(小分辨率和僅1-2 幀)以最大限度地覆蓋每個語法元素和基本對的交叉覆蓋。一個測試流- 一個功能,獨立進行單獨的“編碼塊”設計。適用于初始RTL 設計。

壓力 -包括語法流所涵蓋的所有功能,分辨率更高,幀數更多。適合解碼器設計的高級階段。

性能 -測試硬件解碼器速度的極限。有利于最終驗證以證明符合級別要求。

錯誤恢復 -一組不完全兼容的比特流,用于測試解碼器對錯誤的魯棒性和恢復能力。有利于最終驗證以提供可行的最終用戶產品。

主要特征

在本文中,我們將介紹VQDVK 的主要功能,即:

一體化

特殊流

交叉覆蓋

分析工具

一體化

19619c66-45d5-11ed-96c9-dac502259ad0.png

立即“開箱即用”的好處。DVK合規流可以立即集成到任何CI或驗證管道中,以證明客戶的產品。

特殊流

1aa8e548-45d5-11ed-96c9-dac502259ad0.png

需要一些特別的東西嗎?不是問題!DVK團隊可以請求特殊流(應在合同中討論),也可以使用非常靈活的編碼器設計輕松生成:DVK的編碼器基于參考并支持配置文件。在配置文件的幫助下,用戶可以生成他們想要的任何流,因為任何語法元素都可以定義為開/關或特定值,例如“pps_init_qp_minus26”(VVC的語法元素示例之一)。作為一個附加示例,使用這種方法,如果啟用“filmgrain”組(AV1示例)的所有元素以在驗證期間測試此功能,則可以生成流。

交叉覆蓋

1aba0544-45d5-11ed-96c9-dac502259ad0.png

有時簡單的一維覆蓋是不夠的。這就是DVK 在 VVC報告中引入 CrossCoverage的原因。CrossCoverage是 2D報告:為了降低成本,它需要智能,因此在某些情況下需要使用一些背景知識(另一個元素)檢查元素覆蓋率

分析工具

1ae908f8-45d5-11ed-96c9-dac502259ad0.png

分析工具是生成代碼、語法和交叉覆蓋率報告(跨平臺html格式)并分析流并檢查流集是否可以降低的專用工具。

非常重要的是,分析工具可以用于任何一組流。因此,如果一個組織已經擁有他們的流視頻庫,則可以通過這些工具輕松地對其進行分析、縮減或比較。





審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 解碼器
    +關注

    關注

    9

    文章

    1076

    瀏覽量

    40227
  • 編碼器
    +關注

    關注

    42

    文章

    3382

    瀏覽量

    131833
  • RTL
    RTL
    +關注

    關注

    1

    文章

    381

    瀏覽量

    59147

原文標題:ViCueSoft:解碼器驗證套件(VQDVK) 的主要優點

文章出處:【微信號:哲想軟件,微信公眾號:哲想軟件】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    無法生成比特流

    你好,我使用Vivado 2017.4;當我運行Synthesis和Implementation時,一切似乎都可以。但是,當我想生成比特流文件時,沒有任何錯誤消息發生。.runs / impl_l
    發表于 11-09 11:37

    將時鐘與輸入比特流同步

    。(實際上是來自軟盤驅動的輸入比特流。它是MFM編碼的,具有大約500千赫的基礎時鐘。但細節并不重要。解析比特流最合理的方法是在輸入的比特流脈沖上觸發,然后在1MHz時鐘上采樣。這樣
    發表于 12-17 16:35

    Vivado項目生成比特流時發生錯誤

    嗨,大家好,我正在開發一個Vivado項目,其中包含JESD IP內核。我使用的工具是Vivado 2015.4,我們擁有JESD的有效許可證。該項目的合成和實施是成功的。但是,生成比特流時發生錯誤
    發表于 12-18 10:45

    無法生成比特流

    'hdcp@2015.09'未經許可.IPCP功能在IP GUI上也不可用(灰顯)。忽略此嚴重警告后,我們能夠生成,合成,放置和路由知識產權。但無法生成比特流。錯誤是:[Common 17-69]命令失?。捍?/div>
    發表于 01-03 11:06

    無法使用硬件評估許可證生成比特流

    嗨,我想嘗試色度重采樣IP。所以我獲得了硬件評估許可證,以便在我的主板上進行測試。但是,在比特流生成過程中,我得到了:[Common 17-69]命令失?。捍嗽O計包含一個或多個不允許生成比特
    發表于 01-08 10:07

    怎么使用ISE Webpack生成比特流

    用iMPACT工具向Spartan 6下載“SPI Flash編程”比特流,該工具將從PC接收數據,以便使用步驟2中生成的文件對SPI進行編程。4.我們將使用PROG_B使用SPI中的新數據重啟Spartan
    發表于 07-04 08:13

    比特流是什么

    `請問比特流是什么?`
    發表于 08-23 16:24

    USRP解碼比特流錯誤

    1.為什么用USRP發送數字調制信號后,如FSK和QPSK,接收端解碼出來的比特流都是不對的?
    發表于 08-28 09:18

    無法在spi flash中加載比特流

    嗨我有一個問題,我無法在我的spi flash中加載比特流,我在中有兩個不同的FPGA。 Impact看到了單個FPGA及其Flash,但是我無法在比特流中加載它們。我試圖簡化路繞
    發表于 03-23 08:47

    如何使用Vivado生成特定的部分比特流

    Mul7.穆添加8. Mul Sub9. Mul Mul現在我希望為上述任何一種組合提供完整的比特流(比如Add Add)。并且我希望部分比特流用于所選擇的組合,即添加用于部分區域1和1。 2,Sub
    發表于 05-05 09:42

    請問如何在沒有靜態路由的情況下生成部分比特流?

    的模塊。之后,我可以執行實現和位生成,該工具將創建完整和部分比特流。通過這種方式,我將得到4個比特流,兩個部分(每個可重新配置模塊一個比特流 - 讓我們稱之為BIT_A)和兩個完整(一
    發表于 06-04 08:52

    是否需要在flash上??切換黃金比特流和多重比特流的位置?

    嗨專家, 我正在使用spartan-6 FPGA進行多重啟動實驗。我發現位文件位于ug380上,如下圖所示。黃金比特流位于閃存的下部塊上,多重引導比特流位于閃存的較高塊上。 因此,如果我想使用保護區
    發表于 06-09 17:43

    Xilinx是否有用于比特流加密的文檔

    你好,我只是想知道Xilinx是否有用于比特流加密的文檔(或教程)。 UG191的第33-35頁有一些簡短的說明,但我不知道Xilinx是否喜歡逐步實施。謝謝。強
    發表于 06-15 13:39

    ARM MPEG——高級音頻編碼解碼器第1版程序員指南

    此外,可以使用MPEG-AAC來解碼沒有報頭的原始比特流。 在這種情況下,您必須在初始化解碼器時設置采樣頻率(參見第3-2頁的AAC_Initialise())。 在對第一個數據塊進行解碼
    發表于 08-18 07:06

    為什么編解碼器需要解碼器模型

    通常來說,視頻解碼器聲明支持某個配置文件和級別。配置文件可以指定有關比特深度和色度二次采樣的視頻格式,以及解碼器需要支持的以解碼比特流的一組
    的頭像 發表于 08-10 16:50 ?3490次閱讀
    為什么編<b class='flag-5'>解碼器</b>需要<b class='flag-5'>解碼器</b>模型
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>