<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

移位寄存器的設計與實現

FPGA設計論壇 ? 來源:CSDN技術社區 ? 作者:ECC&SM9 ? 2022-09-06 11:35 ? 次閱讀

移位寄存器(左移、右移、雙向)的Verilog實現

移位寄存器的功能和電路形式較多,按移位方向分有左移、右移、和雙向移位寄存器;按接收數據方式分為串行輸入和并行輸入;按輸出方向分為串行輸出和并行輸出。

如果將若干個觸發器級聯成如下圖所示電路,則構成基本的移位寄存器。圖中是一個4位移位寄存器,串行二進制數據從輸入端Dsi輸入,左邊觸發器的輸出作為右鄰觸發器的數據輸入。若將串行數碼D3D2D1D0從高位(D3)至低位(D0)按時鐘脈沖間隔依次送到Dsi端,經過第一個時鐘脈沖后,Q0=D3。由于跟隨D3后面的是D2,因此經過第二個時鐘脈沖后,觸發器FF0的狀態移入觸發器FF1而FF0轉變為新的狀態,即Q1=D3,Q0=D2。以此類推,輸入數碼依次由左側觸發器移到右側觸發器。經過4個時鐘脈沖后,4個觸發器的輸出狀態Q3Q2Q1Q0與輸入數碼D3D2D1D0相對應。這樣,就將串行輸人數據轉換為并行輸出數據Dpo。

1afba39c-2d8d-11ed-ba43-dac502259ad0.png

一般來說,N位移位寄存器要由N個觸發器構成,需要N·Tcp來完成串行到并行的數據轉換,同樣也需要N?Tcp來實現并行到串行的數據輸出。這里,Tcp為時鐘周期。從上述操作可以看出,移位寄存器只能用脈沖邊沿敏感的觸發器,而不能用電平敏感的鎖存器來構成,因為在時鐘脈沖高電平期間,鎖存器輸出跟隨輸入變化的特性將使移位操作失去控制。顯然,移位寄存器屬于同步時序電路。

1.基本移位

首先說明“由于國家標準規定,邏輯圖中最低有效位(LSB)到最高有效位(MSB)的電路排列順序應從上到下,從左到右。因此定義移位寄存器中的數據從低位觸發器移向高位為右移,反之則為左移。這一點與通常計算機程序中規定相反,后者從自然二進制數的排列考慮,將數據移向高位定義為左移,反之為右移?!贝藘热菡浴?a target="_blank">電子技術基礎-數字部分》康華光主編教材。

module shifter( din, clk, rst, dout,done);

input din, clk, rst;

output [7:0] dout;

output reg done; //完成移位

reg [7:0] dout;

reg [3:0] cnt;

always @(posedge clk)

begin

if(rst) //清零

dout <= 8’b0;

else if(cnt<=4’d7)

begin

dout<=dout>>1; //左移

dout[7]<=din;

/*dout <= dout<<1;

dout[0] <= din; */ //右移

end

else

dout<=dout;

end

always@(posedge clk)

begin

if(rst)

begin

cnt<=4'd0;

done<=1'b0;

end

else if(cnt==4'd7)

begin

cnt<=4'd0;

done<=1'b1;

end

else begin

cnt<=cnt+1'b1;

done<=1'b0;

end

end

endmodule

仿真結果圖

1b195554-2d8d-11ed-ba43-dac502259ad0.png

2.雙向移位

雙向移位實現數據保持、右移、左移、并行置數、并行輸出。

module two_way(

input clk,

input rst,

input s0,s1, //選擇輸入端口

input din1,din2, //串行數據輸入

input [3:0] d, //并行數據輸入

output reg [3:0] q //輸出端口

);

always@(posedge clk or negedge rst)

begin

if(!rst)

q<=4'd0;

else begin

case({s1,s0})

2'b00: q<=q;? //輸出保持不變

2'b01: q<={q[2:0],din1};? //右移

2'b10: q<={din2,q[3:1]};? //左移

2'b11: q<=d;? ? ? ? ?//并行置數

endcase

end

end

endmodule

1b30969c-2d8d-11ed-ba43-dac502259ad0.png

仿真結果圖

總結一般掌握左移、右移方法即可,并根據實際需求加以應用,串并轉換也適用。

審核編輯:湯梓紅
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • Verilog
    +關注

    關注

    28

    文章

    1327

    瀏覽量

    109410
  • 移位寄存器
    +關注

    關注

    2

    文章

    209

    瀏覽量

    22041
  • 觸發器
    +關注

    關注

    14

    文章

    1803

    瀏覽量

    60486

原文標題:移位寄存器(左移、右移、雙向)的Verilog實現

文章出處:【微信號:gh_9d70b445f494,微信公眾號:FPGA設計論壇】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    [4.4.1]--移位寄存器實現序列發生

    信號發生
    學習電子知識
    發布于 :2022年12月13日 19:43:12

    數字邏輯電路:移位寄存器實現序列發生(1)#硬聲創作季

    數字邏輯電路
    學習硬聲知識
    發布于 :2022年12月30日 18:30:59

    55 0806_02 使用移位寄存器實現一行數據寄存 - 第1節

    移位寄存器
    充八萬
    發布于 :2023年08月19日 17:38:17

    55 0806_02 使用移位寄存器實現一行數據寄存 - 第2節 #硬聲創作季

    移位寄存器
    充八萬
    發布于 :2023年08月19日 17:39:08

    55 0806_02 使用移位寄存器實現一行數據寄存 - 第3節 #硬聲創作季

    移位寄存器
    充八萬
    發布于 :2023年08月19日 17:39:58

    55 0806_02 使用移位寄存器實現一行數據寄存 - 第4節 #硬聲創作季

    移位寄存器
    充八萬
    發布于 :2023年08月19日 17:40:48

    55 0806_02 使用移位寄存器實現一行數據寄存 - 第5節

    移位寄存器
    充八萬
    發布于 :2023年08月19日 17:41:39

    55 0806_02 使用移位寄存器實現一行數據寄存 - 第6節

    移位寄存器
    充八萬
    發布于 :2023年08月19日 17:42:29

    用labview模擬擲骰子次數,只能用一個移位寄存器實現

    程序給出擲骰子次數,輸出擲骰子后骰子各面出現的次數。如果用多個移位寄存器的可以實現,也正確。但是用一個移位寄存器實現,卻輸出不正確。不知道哪兒錯了?
    發表于 08-16 21:46

    labview移位寄存器實現閃燈報錯

    必須將循環內部的有效數據類型連接至位于循環右側邊框的移位寄存器,或者刪除該移位寄存器。怎么理解
    發表于 10-25 23:29

    Matlab移位寄存器實現

    本文主要介紹Matlab如何實現移位寄存器,首先介紹的是移位寄存器的原理及作用,其次介紹了m序列的生成原理及m序列的matlab 仿真實現,最后介紹了Matlab如何
    發表于 06-20 04:20

    一維數組自動在末尾添加元素,不使用移位寄存器怎么實現

    一維數組自動在末尾添加元素,不使用移位寄存器怎么實現比如我有一個字符串 想要存入這個數組末尾也就是數組索引3在位置插入字符串a,然后在下一循環又自動添加在數組索引5當中怎么能實現,不能用移位寄
    發表于 04-23 16:41

    寄存器移位寄存器

    寄存器移位寄存器:介紹寄存器原理和移位寄存器的原理及實現。
    發表于 05-20 11:47 ?0次下載

    線性反饋移位寄存器原理與實現

    線性反饋移位寄存器(LFSR)是一個產生二進制位序列的機制。這個寄存器由一個初始化矢量設置的一系列信元組成,最常見的是,密鑰。這個寄存器的行為被一個時鐘調節。
    發表于 12-22 09:37 ?4.7w次閱讀
    線性反饋<b class='flag-5'>移位寄存器</b>原理與<b class='flag-5'>實現</b>

    移位寄存器實現邊沿檢測的技巧

    本文記錄一下關于用移位寄存器實現邊沿檢測的技巧。要學會硬件思維式的“模塊式”讀寫代碼,那么請多看別人的代碼,并用ISE或者VIVADO綜合出來看看。 邊沿檢測 邊沿檢測,顧名思義,就是檢查信號的邊沿
    發表于 04-15 10:26 ?3002次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>