<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

使用Tcl命令保存Vivado工程

冬至配餃子 ? 來源:極術社區 ? 作者:破天荒 ? 2022-08-02 15:01 ? 次閱讀

一個完整的vivado工程往往需要占用較多的磁盤資源,少說幾百M,多的甚至可能達到上G,為節省硬盤資源,可以使用Tcl命令對vivado工程進行備份,然后刪除不必要的工程文件,需要時再恢復即可。

1. 注意事項

首先應注意,由于不同版本的vivado對應的ip版本可能不同,所以將工程保存成tcl文件時使用的vivado軟件版本與恢復工程時使用的版本應保持一致,這里統一使用Vivado 2018.3版本。

? 可以打開.tcl文件,查看此.tcl文件是用哪個版本的vivado創建的

確保所有設計源文件(.v、.xdc、ip、仿真文件)不要刪除,最終只需保留源文件和.tcl文件即可

生成.tcl文件之后,.tcl和源文件所在路徑可以修改,即上一級文件夾可移動到任意位置保存。

2.保存為.tcl文件

使用GUI界面操作和使用Tcl命令行操作本質上是一樣的,不必在意具體方式。

打開要保存的工程,在vivado界面上操作,選擇保存的tcl腳本文件的存放位置

File -> Project -> Write Tcl

操作之后,在Tcl Console窗口也可以看到具體的Tcl腳本命令,喜歡腳本操作的可以記一下。

完成之后就可以在指定路徑看到一個.tcl文件了;

關閉工程,注意是關閉工程不是關閉軟件,File--->Close Project

在工程路徑中刪除掉原來的工程文件(就在.tcl文件的同一路徑下,不要刪除.v和ip)

刪除之后只需要保存.tcl文件和一些必備的源文件就可以了,可以節省大量空間。

3.恢復工程

切換路徑,此路徑為恢復工程的保存位置

?具體方式為在Tcl Console窗口敲命令,一定要切換,不然恢復的工程會放在vivado的安裝路徑下。

cd D:/xxx/xxxx/.tcl文件的上一級路徑/

恢復工程,在Vivado上按如下步驟操作

?Tools ---> Run Tcl Scripts

成功恢復!


審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • TCL
    TCL
    +關注

    關注

    10

    文章

    1670

    瀏覽量

    88040
  • GUI
    GUI
    +關注

    關注

    3

    文章

    618

    瀏覽量

    38960
  • Vivado
    +關注

    關注

    18

    文章

    791

    瀏覽量

    65377
收藏 人收藏

    評論

    相關推薦

    深入探索Vivado工程模式FPGA設計流程

    在設計過程的每個階段,設計者均可以打開Vivado集成開發環境,對存儲器中保存的當前設計進行分析和操作。
    發表于 04-03 09:36 ?199次閱讀
    深入探索<b class='flag-5'>Vivado</b>非<b class='flag-5'>工程</b>模式FPGA設計流程

    詳解Vivado工程模式的精細設計過程

    將設置設計的輸出路徑,設置設計輸出路徑的步驟如下所示。 第一步:如圖4.3所示,在“Vivado%”提示符后輸入命令“set outputDir ./gate_Created_Data/top_output”。
    發表于 04-03 09:34 ?247次閱讀
    詳解<b class='flag-5'>Vivado</b>非<b class='flag-5'>工程</b>模式的精細設計過程

    Vivado設計套件用戶指南:使用Tcl腳本

    電子發燒友網站提供《Vivado設計套件用戶指南:使用Tcl腳本.pdf》資料免費下載
    發表于 09-14 14:59 ?0次下載
    <b class='flag-5'>Vivado</b>設計套件用戶指南:使用<b class='flag-5'>Tcl</b>腳本

    Vivado設計套件Tcl命令參考指南

    電子發燒友網站提供《Vivado設計套件Tcl命令參考指南.pdf》資料免費下載
    發表于 09-14 10:23 ?1次下載
    <b class='flag-5'>Vivado</b>設計套件<b class='flag-5'>Tcl</b><b class='flag-5'>命令</b>參考指南

    Vivado Design Suite用戶指南:使用Tcl腳本

    電子發燒友網站提供《Vivado Design Suite用戶指南:使用Tcl腳本.pdf》資料免費下載
    發表于 09-13 15:26 ?0次下載
    <b class='flag-5'>Vivado</b> Design Suite用戶指南:使用<b class='flag-5'>Tcl</b>腳本

    Vivado使用指南

    installlicense文檔;3.1.4 歷史工程會將打開過的工程目錄和名稱記錄下來,方便再次開啟Vivado時可以快速打開工程3.1.5 T
    發表于 09-06 17:55

    MicroBlaze串口設計(附源工程

    Generate Bitstream。Vivado工具會提示沒有已經實現的結果,點擊‘Yes’,Vivado工具會依次執行綜合、實現和生成比特流文件。 二、基于Tcl腳本創建工程
    發表于 08-24 20:13

    基于 FPGA Vivado 的數字鐘設計(附源工程

    ;Vivado 2017.2; 2) 點擊‘Create Project’,或者單擊File>New Project創建工程文件; 3) 將新的工程項目命名為‘lab2’,選擇工程
    發表于 08-18 21:18

    Versal GTM如何用Tcl命令在IBERT生成QPRBS13序列

    目前對于 Vivado 2023.1 版本的 IBERT GUI 界面暫時不支持 QPRBS13 的設置,需要通過 tcl 或者端口設置的方法來實現。
    的頭像 發表于 08-18 09:53 ?547次閱讀
    Versal GTM如何用<b class='flag-5'>Tcl</b><b class='flag-5'>命令</b>在IBERT生成QPRBS13序列

    基于 FPGA Vivado 示波器設計(附源工程

    Tcl,創建新的工程 1) 打開Vivado 2017.2,在界面底部Tcl命令框輸入命令;
    發表于 08-17 19:31

    基于 FPGA Vivado 信號發生器設計(附源工程

    、書籍、源碼、技術文檔…(2023.07.09更新) 本篇掌握基于 FPGA Vivado 信號發生器設計(附源工程),掌握基于添加文件和IP的Vivado工程設計流程,
    發表于 08-15 19:57

    使用vivado的仿真器仿真時,modelsim的transcript界面無法輸出C程序的printf語句是為什么?

    我現在將vivado和modelsim做了聯合仿真,用來仿真蜂鳥e203協處理器擴展實現的功能?,F在的問題是:使用vivado的仿真器仿真時vivadoTCL console可以打印
    發表于 08-11 06:44

    Vivado系列之TCL549驅動設計

    ? 系統性的掌握技術開發以及相關要求,對個人就業以及職業發展都有著潛在的幫助,希望對大家有所幫助。本次帶來Vivado系列,TLC549驅動設計。話不多說,上貨。 TCL549驅動設計 在生活中
    的頭像 發表于 07-27 09:25 ?784次閱讀
    <b class='flag-5'>Vivado</b>系列之<b class='flag-5'>TCL</b>549驅動設計

    vivado創建工程流程

    vivado工程創建流程對于大部分初學者而言比較復雜,下面將通過這篇博客來講解詳細的vivado工程創建流程。幫助自己進行學習回顧,同時希望可以對有需要的初學者產生幫助。
    的頭像 發表于 07-12 09:26 ?1534次閱讀
    <b class='flag-5'>vivado</b>創建<b class='flag-5'>工程</b>流程

    TCL 定制 Vivado 設計實現流程

    工程模式下對應的Tcl 命令。右圖所示是 Vivado 中設計實現的基本流程,藍色部分表示實現的基本 步驟(盡管opt_design 這一步理論上不是必選項,但仍強烈建議用戶執行),
    發表于 06-28 19:34
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>