<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

一文詳解Xilin的FPGA時鐘結構

FPGA設計論壇 ? 來源:FPGA設計論壇 ? 作者:FPGA設計論壇 ? 2022-07-03 17:13 ? 次閱讀

?xilinx 的 FPGA 時鐘結構,7 系列 FPGA 的時鐘結構和前面幾個系列的時鐘結構有了很大的區別,7系列的時鐘結構如下圖所示。

3ca65ac6-e63b-11ec-ba43-dac502259ad0.png

Clock Region:FPGA 內部分成了很多個時鐘區域。

Horizontal Center:FPGA被 Horizontal Center 分成上下兩個部分,每個部分包含16個 BUFG 。

Clock Backbone:全局時鐘線的主干道,將 FPGA 分成了左右兩部分,所有的全局時鐘布線均要從此經過。

HROW:水平時鐘線,從水平方向貫穿每個時鐘區域的中心區域,將時鐘區域分成上下完全一致的兩部分。全局時鐘線進入每個時鐘區域的邏輯資源時,必須經過水平時鐘線。

I/O Column:外部信號/時鐘輸入管腳。

CMT Backbone:對于相鄰時鐘區域的時鐘布線,可以不使用珍貴的全局時鐘網絡,而使用每個時鐘區域都包含的 CMT Backbone 通道。

CMT Column:每個時鐘區域都包含一個CMT,一個CMT由一個MMCM和一個PLL組成。

GT Column:內含高速串行收發器。

總結來說,FPGA 實際上就是被分成很多個大小一樣時鐘區域,每個時鐘區域既可單獨工作又可通過全局時鐘 Clock BackBone 統一工作,同時水平相鄰的時鐘區域又可通過 HROW 來統一工作,上下相鄰的時鐘區域又可通過 CMT Backbone 統一工作。

Xilinx 7系列時鐘區域

3cd49d46-e63b-11ec-ba43-dac502259ad0.png

BUFG 即為全局時鐘緩沖器,從圖上看到,其輸出時鐘通過 Clock Backbone 可以到達任意一個時鐘區域,而且 BUFG 通過 HROW 到達時鐘區域內部的每個邏輯單元。

BUFH 即為水平時鐘緩沖器,它相當于一個功能受限的 BUFG ,其輸出時鐘只能通過 HROW 在左右相鄰的時鐘區域內工作。

BUFIO 即為 IO 時鐘緩沖器,其輸出時鐘只能作用在一個時鐘區域的 IO 寄存器處,無法在 FPGA 內部邏輯使用。

BUFR 即為區域時鐘緩沖器,其輸出只能作用在一個時鐘區域,相當于 BUFH 的 mini 版。

BUFMR 即為多區域時鐘緩沖器,其輸出作用在本時鐘區域,還可以通過 CMT Backbone 作用在上下相鄰兩個時鐘區域。

MMCM、PLL 即時鐘管理模塊,用來消除時鐘的延遲、抖動以及產生各種不同頻率的時鐘。

CC 即為外部時鐘輸入管腳,其管腳在內部可以連接到 BUFG、BUFR、BUFIO、BUFH、MMCM、PLL 等,看具體的應用具體連接。下圖所示為更為細致的 CC 管腳連接圖。一個時鐘區域有 4 對 CC 管腳,其中 2 對 SRCC ,2 對 MRCC 。SRCC 作為區域時鐘使用時,只能連接本時鐘區域的 BUFR 和 BUFIO 。而 MRCC 則還可以通過BUFMR作用在上下相鄰的時鐘區域

3cef7576-e63b-11ec-ba43-dac502259ad0.png

Xilinx 7系列時鐘詳細描述

xilinx 7 系列 FPGA 里面,一個 CMT 包含一個 PLL 和一個 MMCM。Ultra 系列 FPGA 里面包含兩個 PLL 和 一個 MMCM 。所以本文以 7 系列介紹0。

3d3c3b72-e63b-11ec-ba43-dac502259ad0.png

2.芯片上位置

BUFG、BUFR、BUFH、BUFIO 在芯片上所處位置如下圖所示

3d69e93c-e63b-11ec-ba43-dac502259ad0.png

將BUFR、BUFIO、BUFMR 放大如下圖所示

3dcbc7f6-e63b-11ec-ba43-dac502259ad0.png

3.驅動能力對比

結合 BUF 在芯片上的位置,以及下圖不難理解BUFG、BUFH、BUFR、BUFIO的驅動能力強弱。

3df451e4-e63b-11ec-ba43-dac502259ad0.png

3e256c98-e63b-11ec-ba43-dac502259ad0.png

物理管腳 IO

SRCC:外部差分時鐘或者單端時鐘驅動,只能作用于本區域時鐘:4個BUFIO、4個BUFR、本時鐘區域的CMT以及上下相鄰時鐘區域的CMT、16個BUFG、本時鐘區域以及水平相鄰時鐘區域的BUFH。

MRCC:外部差分時鐘或者單端時鐘驅動,只能作用于本區域時鐘:4個BUFIO、4個BUFR、2個BUFMR、本時鐘區域的CMT以及上下相鄰時鐘區域的CMT、16個BUFG、本時鐘區域以及水平相鄰時鐘區域的BUFH。

FPGA 芯片內部

BUFIO:在相同的時鐘區域內,其可以被 MRCC、SRCC、MMCM 的 CLKOUT0~3、CLKFBOUT 驅動,還可以被本時鐘區域以及上下相鄰區域的 BUFMR 驅動;其只能驅動本時鐘區域內的 ILOGIC、OLOGIC 。

BUFR:在相同時鐘區域內,其可以被MRCC、SRCC、MMCM的CLKOUT0~3、CLKFBOUT驅動,還可以被本時鐘區域以及上下相鄰區域的BUFMR驅動;其能驅動本時鐘區域內的CMT、本時鐘區域內所有邏輯單元、以及16個BUFG(不推薦)。

BUFMR:其只能被本時鐘區域的MRCC以及某些GT時鐘驅動;其能驅動本時鐘區域以及上下相鄰區域的BUFIO、BUFR。

BUFG:其能被每個時鐘區域內的SRCC、MRCC、CMT、GT、BUFR(不推薦)、其他BUFG;其可以驅動CMT、GT時鐘、其他BUFG、FPGA內任何邏輯單元、BUFH。

BUFH:其能被本時鐘區域以及左右相鄰時鐘區域內的SRCC、MRCC、CMT、BUFG、GT時鐘驅動;其能驅動本時鐘區域的CMT、GT時鐘、以及本時鐘區域內的所有邏輯單元。

GT時鐘之RXUSERCLK、TXUSERCLK:其能被任何BUFG、以及本時鐘區域內的BUFH驅動;其只能驅動專用的串行收發器模塊。

GT時鐘之RXOUTCLK、TXOUTCLK:其被專用的串行收發器模塊驅動;其能驅動BUFG、相同時鐘區域內的CMT、BUFMR、BUFH以及相鄰時鐘區域內的BUFH。

MGTREFCLK:其被外部MGT時鐘源驅動;其能驅動BUFG、相同時鐘區域內的CMT、BUFMR、BUFH以及相鄰時鐘區域內的BUFH。

CMT(PLL&MMCM):其能被BUFG、SRCC(本時鐘區域以及上下相鄰時鐘區域)、MRCC(本時鐘區域以及上下相鄰時鐘區域)、GT(本時鐘區域)、BUFR(本時鐘區域或者上下相鄰時鐘區域加上BUFMR)、BUFMR、MMCM/PLL.CLKOUT0~3驅動;其能驅動BUFG、相同時鐘區域內的BUFIO、BUFR、BUFH以及水平相鄰的時鐘區域的BUFH、MMCM/PLL。

原文標題:FPGA學習-Xilinx 7系列時鐘結構

文章出處:【微信公眾號:FPGA設計論壇】歡迎添加關注!文章轉載請注明出處。

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21367

    瀏覽量

    594696
  • Xilinx
    +關注

    關注

    70

    文章

    2129

    瀏覽量

    119652
  • 時鐘
    +關注

    關注

    10

    文章

    1490

    瀏覽量

    130449

原文標題:FPGA學習-Xilinx 7系列時鐘結構

文章出處:【微信號:gh_9d70b445f494,微信公眾號:FPGA設計論壇】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    XILINX FPGA IP之MMCM PLL DRP時鐘動態重配詳解

    上文XILINX FPGA IP之Clocking Wizard詳解說到時鐘IP的支持動態重配的,本節介紹通過DRP進行MMCM PLL的重新配置。
    發表于 06-12 18:24 ?7314次閱讀
    XILINX <b class='flag-5'>FPGA</b> IP之MMCM PLL DRP<b class='flag-5'>時鐘</b>動態重配<b class='flag-5'>詳解</b>

    Xilinx 7系列FPGA時鐘結構解析

    ,以滿足各種設計需求,并提供時鐘驅動邏輯資源的靈活性和可擴展性。那今天我們一起解剖Xilinx 7系列FPGA時鐘結構,看看它到底如何實現如此豐富的
    發表于 08-31 10:44 ?1519次閱讀
    Xilinx 7系列<b class='flag-5'>FPGA</b>的<b class='flag-5'>時鐘</b><b class='flag-5'>結構</b>解析

    FPGA的基本結構

    、FPGA的基本結構 FPGA由6部分組成,分別為可編程輸入/輸出單元、基本可編程邏輯單元、嵌入式摸塊RAM、豐富的布線資源、底層嵌入式功能單元和內嵌專用硬核等。 每個單元簡介如
    發表于 07-16 15:32

    FPGA的基本結構

    、FPGA的基本結構 FPGA由6部分組成,分別為可編程輸入/輸出單元、基本可編程邏輯單元、嵌入式摸塊RAM、豐富的布線資源、底層嵌入式功能單元和內嵌專用硬核等。 每個單元簡介如
    發表于 08-23 10:33

    FPGA的基本結構

    、FPGA的基本結構 FPGA由6部分組成,分別為可編程輸入/輸出單元、基本可編程邏輯單元、嵌入式摸塊RAM、豐富的布線資源、底層嵌入式功能單元和內嵌專用硬核等。 每個單元簡介如
    發表于 09-18 11:15

    FPGA的基本結構

    、FPGA的基本結構 FPGA由6部分組成,分別為可編程輸入/輸出單元、基本可編程邏輯單元、嵌入式摸塊RAM、豐富的布線資源、底層嵌入式功能單元和內嵌專用硬核等。 每個單元簡介如
    發表于 10-08 14:43

    FPGA的基本結構

    、FPGA的基本結構 FPGA由6部分組成,分別為可編程輸入/輸出單元、基本可編程邏輯單元、嵌入式摸塊RAM、豐富的布線資源、底層嵌入式功能單元和內嵌專用硬核等。 每個單元簡介如
    發表于 09-24 11:54

    詳解FPGA的特點及結構

    (GateArrayLogic)、可編程邏輯器件PLD(ProgrammableLogicDevice)等可編程器件的基礎什么是FPGA上進步發展的產物。它是作為專用集成電路ASIC
    發表于 11-02 09:21

    STM32時鐘樹案例詳解

    STM32時鐘樹案例詳解時鐘樹直接使用HSI作為時鐘源使用配置相應的結構體,最后調用HAL_RCC_OscConfig(), 和HAL_RC
    發表于 08-20 06:11

    FPGA時鐘分配網絡設計技術

    本文闡述了用于FPGA的可優化時鐘分配網絡功耗與面積的時鐘布線結構模型。并在時鐘分配網絡中引入數字延遲鎖相環減少
    發表于 08-06 16:08 ?12次下載

    基于FPGA時鐘跟蹤環路的設計

    提出了一種基于FPGA時鐘跟蹤環路的設計方案,該方案簡化了時鐘跟蹤環路的結構,降低了時鐘調整電路的復雜度。實際電路測試結果表明,該方案能夠
    發表于 11-19 14:46 ?31次下載

    基于FPGA時鐘設計

    FPGA設計中,為了成功地操作,可靠的時鐘是非常關鍵的。設計不良的時鐘在極限的溫度、電壓下將導致錯誤的行為。在設計PLD/FPGA時通常采用如下四種類型
    發表于 09-21 18:38 ?3579次閱讀
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>時鐘</b>設計

    Xilin ISE設計流程FPGA系統設計入門免費下載

    本文檔的主要內容詳細介紹的是Xilin ISE設計流程FPGA系統設計入門免費下載。
    發表于 10-29 08:00 ?9次下載
    <b class='flag-5'>Xilin</b> ISE設計流程<b class='flag-5'>FPGA</b>系統設計入門免費下載

    FPGA時鐘系統的移植

    ASIC 和FPGA芯片的內核之間最大的不同莫過于時鐘結構。ASIC設計需要采用諸如時鐘樹綜合、時鐘延遲匹配等方式對整個
    發表于 11-23 16:50 ?728次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時鐘</b>系統的移植

    FPGA時鐘電路結構原理

    FPGA 中包含一些全局時鐘資源。以AMD公司近年的主流FPGA為例,這些時鐘資源由CMT(時鐘管理器)產生,包括DCM、PLL和MMCM等
    發表于 04-25 12:58 ?376次閱讀
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>時鐘</b>電路<b class='flag-5'>結構</b>原理
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>