<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

典型的基于RTL的ASIC設計流程分析

要長高 ? 來源:OpenFPGA ? 作者:碎碎思 ? 2022-06-20 16:24 ? 次閱讀

SystemVerilog的RTL綜合子集

SystemVerilog既是一種硬件設計語言,也是一種硬件驗證語言。IEEE SystemVerilog官方標準沒有區分這兩個目標,也沒有指定完整SystemVerilog語言的可綜合子集。相反,IEEE讓提供RTL綜合編譯器的公司來定義特定產品支持哪些SystemVerilog語言結構。

由于缺乏SystemVerilog綜合標準,每個綜合編譯器可能支持SystemVerilog標準的不同子集。這意味著設計工程師在編寫用于綜合的SV模型時需要小心。必須參考要使用的綜合編譯器的文檔,并遵循為一個綜合編譯器編寫的編譯器模型的語言子集,使用不同的綜合編譯器可能需要根據綜合器特性進行修改。

接下來看下今天的主角-FPGA建模概念

FPGA的建模

本文的目的是了解SystemVerilog建模風格如何受到ASIC和FPGA技術的影響。有關ASIC和FPGA實現的詳細信息以及這些技術的適當應用留給其他工程書籍討論。然而,為了實現RTL建模最佳實踐的目標,理解ASIC和FPGA的基本概念非常重要。

標準單元ASICASIC是專用集成電路的縮寫。與可執行多種功能(如微處理器)的通用IC不同,ASIC設計用于執行特定任務(因此得名為“application specific”)。控制器、音頻格式轉換和視頻處理是適用于ASIC的示例。ASIC還可以包括一個或多個嵌入式處理器,以便執行特定任務同時可以進行通用操作。帶有嵌入式處理器的ASIC通常被稱為片上系統(SoC,主流設計)。

提供ASIC的公司稱為ASIC供應商(ASIC vendors)。其中一些供應商提供ASIC技術并進行ICs實際制造和生產的一部分。其他ASIC供應商為ASIC提供技術,但將制造和生產留給其他公司。

大多數ASIC技術使用標準單元(standard cells),這些單元是預先設計的邏輯塊,由一到幾個邏輯門組成。ASIC單元庫可能有幾百個標準單元,如AND、NAND、OR、NOR、Exclusive-OR, Exclusive-NOR,2-to-l MUX- 2選1 MUX、D型觸發器、鎖存器等。每個單元都有明確的電氣特性,如傳播延遲、建立和保持時間以及寄生電容。

設計ASIC涉及從庫中選擇合適的單元,并將它們連接在一起以執行所需的功能。在整個過程中使用EDA軟件工具進行設計。ASIC設計的典型流程如圖1-5所示:

poYBAGKwLlyAZf6-AAHtr1cpdPE593.png

圖1-5:典型的基于RTL的ASIC設計流程

圖1-5所示的步驟是:

1、當然,第一步是說明設計的意圖。

2、所需的功能在抽象的RTL建模級別進行建模。在這個階段,重點是功能,而不是物理實現。

3、仿真并驗證功能。

4、綜合,將RTL功能映射到適合目標ASIC類型的標準單元。綜合的輸出稱為門級網表(gate-level netlist),該綜合過程在后面有更詳細的描述。

5、仿真或邏輯等價檢查器(Logic Equivalence Checkers)(形式驗證的一種形式)用于驗證門級實現在功能上等同于RTL功能。

6、時鐘樹合成用于在整個設計中均勻分布時鐘驅動。通常,掃描鏈插入到工具中以增加設計的可測試性。

7、Place and route(放置和布線)軟件計算如何在實際硅中布局,以及如何布線。place and route軟件的輸出是一個圖形數據系統文件(GDSII,發音為gee dee ess two)。GDSII是一種二進制格式,其中包含有關實際在硅中構建IC所需的幾何形狀(多邊形polygons)和其他數據的信息。

8、進行設計規則檢查(DRC),以確保ASIC制造廠定義的所有規則均得到遵守,如加載門扇出,

9、在考慮互連網絡和時鐘樹傾斜的延遲效應后,執行靜態時序分析(STA)以確保滿足建立/保持時間。

10、最后一步是將GDSII文件和其他數據發送給制造廠,用于制造ASIC。將這些文件傳遞給制造廠被稱為“taping out”ASIC,因為在ASIC設計的早期,是使用磁帶將這些文件發送給鑄造廠:

在本文中,ASIC設計流程中的這些步驟已被概括。有許多細節被遺漏了,并不是所有的公司都遵循這個確切的流程。有時,步驟9(靜態時序分析)在設計流程的早期執行,并且可能在流程中執行多次。

本文的重點是用于仿真和合成的RTL建模,圖1-5中的步驟2和步驟3。此級別的建模處于設計過程的前端。時鐘樹、掃描鏈和時序分析等設計細節將在設計流程的后面介紹,不在本文的范圍之內。在RTL級別,設計工程師專注于實現所需的功能;而不是實施細節。然而,理解在建模、仿真和合成的前端步驟之后會發生什么仍然很重要。RTL編碼風格會影響設計流程中后期使用的工具的有效性。

還有其他類型的ASIC技術不使用標準單元,如全定制、門陣列和結構化ASIC。SystemVerilog可以以類似的方式用于設計這些其他類型的ASIC,盡管所涉及的軟件工具可能有所不同。所使用的綜合編譯器——以及這些編譯器支持的SystemVerilog語言結構——可能與這些其他技術非常不同。

FPGAFPGA是現場可編程門陣列(Field Programmable Gate Array)的縮寫。FPGA是一種集成電路,包含固定數量的邏輯塊,可在IC制造后進行重新配置(而ASIC的內容和布局必須在制造前確定)。從歷史上看,FPGA不能包含ASIC那么多的功能,只能以較慢的時鐘速度運行,這是RTL級設計時的重要考慮因素。FPGA技術的最新進展顯著縮小了FPGA和ASIC之間的差異。通常,FPGA可用于實現與ASIC相同的功能。

FPGA包含一系列稱為可配置邏輯塊(CLB)的小型邏輯元件。一些FPGA供應商將這些塊稱為邏輯陣列塊(LABs)。典型的CLB可能包含一個或多個查找表(LUT)、一些多路復用器(MUX)和存儲元件(如D型觸發器)。大多數FPGA中的查找表都是用邏輯運算(如AND、OR和XOR)編程的小型RAM。從LUT中選擇所需的操作允許以多種方式使用CLB,從簡單的AND或XOR門到更復雜的組合功能。某些FPGA中的CLB還可能具有其他功能,例如加法器。MUX允許組合結果直接從CLB輸出(異步輸出),或在存儲單元中輸出(同步輸出)。

FPGA使用包含數百或數千個CLB的陣列進行制造,以及可“編程”到所需CLB配置的可配置互連-FPGA還包含I/O焊盤,可配置為連接到CLB陣列的一列或一行。

復雜FPGA的典型設計流程如圖1-6所示。

poYBAGKwLnKAV3pkAAGhGHq-exY268.png

圖1-6:典型的基于RTL的FPGA設計

FPGA的前端設計流程類似于ASIC,但后端不同。FPGA的后端部分與ASIC的主要區別在于FPGA的布局和布線。對于ASIC,place and route軟件決定IC的制造方式。對于FPGA,綜合和布局布線軟件詳細說明了如何對FPGA進行編程。本文重點介紹前端步驟2和3,RTL建模和仿真,其中ASIC和FPGA設計之間幾乎沒有區別。

ASIC和FPGA的RTL編碼樣式

理想情況下,相同的RTL代碼可以同時用于ASIC或FPGA。在“RTL級別”工作時,工程重點是設計和驗證功能,而不必關心實施細節。綜合編譯器的作用是將RTL功能映射到特定的ASIC或FPGA技術。

對于ASIC和FPGA來說,大多數(但不是全部)RTL代碼都能很好地綜合。然而,這種普遍性也有例外。RTL模型的一些方面需要考慮設計是在ASIC還是FPGA中進行綜合,這些方面包括:

復位。大多數ASIC單元庫包括同步和異步復位觸發器。設計工程師可以使用被認為最適合設計的復位類型來編寫RTL模型,一些FPGA沒有那么靈活,只有一種復位類型的觸發器(通常是同步的)。雖然綜合編譯器可以將帶有異步復位的RTL模型映射到門級同步復位中,或者反之亦然,但需要額外的邏輯門。許多FPGA還支持ASIC不具備的全局復位功能和預置上電上電觸發器的狀態。后面會更詳細地討論復位建模。

向量/矢量大小。ASIC在最大矢量寬度和矢量運算方面基本不受限制。在大向量上進行復雜操作需要大量邏輯門,但大多數ASIC中使用的標準單元架構可以適應這些操作。FPGA在這方面比較嚴格。由于可用CLB的數量或CLB之間互連路由的復雜性,預定義的CLB數量及其在FPGA中的位置可能會限制在非常大的向量上實現復雜操作的能力。ASIC和FPGA之間的這種差異意味著,即使在RTL抽象級別,設計工程師也必須牢記設計的功能會受到設備的限制。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21367

    瀏覽量

    594696
  • asic
    +關注

    關注

    34

    文章

    1163

    瀏覽量

    119538
收藏 人收藏

    評論

    相關推薦

    IC設計流程簡介

    分析: Prime TimeSynopsys 公司測試:DFTCompileSynopsys 公司3.流程第一階段:項目策劃形成項目任務書(項目進度,周期管理等)。流程:【市場需求--調研--可行性研究
    發表于 12-19 16:20

    ASIC設計流程及其應用

    主要介紹了ASIC設計的流程及各個階段所使用的軟件。
    發表于 06-16 11:01

    ASIC設計全流程入門資料

    ASIC設計全流程入門資料,包括軟件的使用。
    發表于 05-06 14:11

    如何使用良好的RTL設計實踐進行修復

    喜 我正在尋找一個關于時序分析的好文檔/教程。我得到的大多數文件都是針對ASIC的靜態時序分析。我已經瀏覽了這里的博客并發布了一些有用但不全面的老年人。和xilinx文檔專注于他們的時序分析
    發表于 02-14 08:16

    怎么利用Synphony HLS為ASIC和FPGA架構生成最優化RTL代碼?

    新思科技公司(Synopsys)目前推出該公司最新研發的Synphony HLS (High Level Synthesis)解決方案。該解決方案集成了M語言和基于模型的綜合法,與 傳統RTL流程
    發表于 08-13 08:21

    時序邏輯等效性的RTL設計和驗證流程介紹

    關于時序邏輯等效性的RTL設計和驗證流程介紹。
    發表于 04-28 06:13

    ASIC有哪些設計流程

    參考中外文以及互聯網資料,寫一篇ASIC設計流程文章供大家參考,文中有不妥之處,還望批評指正,謝謝!
    發表于 07-23 09:46

    ASIC設計流程是怎樣的

    ASIC是什么?ASIC設計可以分為哪幾個部分?
    發表于 11-01 07:42

    ASIC與FPGA的開發流程是怎樣的

    ASIC的設計流程是怎樣的?FPGA的開發流程又是怎樣的?
    發表于 11-01 07:08

    一次呼叫典型流程

    一次呼叫典型流程:一次呼叫典型流程B.1 概述本章將分別給出主叫流程和被叫流程的例子,以示一次通
    發表于 11-28 17:57 ?26次下載

    Cadence提供新一代Encounter RTL-to-GDSII流程

    全球電子設計創新領先企業Cadence設計系統公司日前宣布推出最新版Cadence Encounter RTL-to-GDSII流程.
    發表于 03-10 09:44 ?788次閱讀

    27張詳解ASIC芯片設計生產流程的PPT

    詳解ASIC芯片設計生產流程的PPT
    的頭像 發表于 07-16 15:37 ?1w次閱讀

    ASIC芯片設計開發流程

    ASIC芯片設計開發流程說明。
    發表于 04-07 09:18 ?64次下載
    <b class='flag-5'>ASIC</b>芯片設計開發<b class='flag-5'>流程</b>

    LTE排障流程典型案例

    LTE排障流程典型案例分享。
    發表于 05-25 15:57 ?6次下載

    英諾達發布RTL級功耗分析工具助推IC高能效設計

    英諾達發布了自主研發的EnFortius?凝鋒?RTL級功耗分析工具,可以在IC設計流程早期對電路設計進行優化。
    的頭像 發表于 11-01 10:28 ?432次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>