<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

D觸發器為什么能對數據延遲一個時鐘周期

FPGA設計論壇 ? 來源:CSDN技術社區 ? 作者:mkelehk ? 2022-06-17 16:56 ? 次閱讀

D觸發器在FPGA里用得很多,但我經常無法理解D觸發器為什么能對數據延遲一個時鐘周期(打一拍)。下面從信號處理的角度來談一下我的理解。如發現理解有誤,煩請留言指正。

D觸發器形如:

204d5e8c-ee07-11ec-ba43-dac502259ad0.png

`timescale 1ns/1ps
module d_flip_flop(
input clk,
input rst_n,
input d,
output reg q
);

always@(posedge clk or negedge rst_n)
begin
if(!rst_n)
begin
q <= 1'b0;
end else begin
q <= d;
end
end
endmodule
時序圖如下:

205f95ca-ee07-11ec-ba43-dac502259ad0.png

解讀如下:

D觸發器在時鐘CLK上升沿采樣,數據D在建立保持時間Tsu和Th內需要穩定不變,否則出現亞穩態!我們在這個時間“窗口”內采樣到的數據即為D觸發器采樣到的數據,經過Tco(其中Tco < Th)的時間后,D觸發器就會輸出上述采樣到的數據D,并且該數據會保持一個時鐘周期T不變。

為什么會有延遲一個時鐘周期(打一拍)的效果呢?原因是,如果兩個D觸發器分別對D和D’這兩個數據采樣,則這兩個觸發器輸出的結果將會一致的,即均為上圖的Q!對比D’和Q會發現:Q比D’延遲了一個時鐘,所以才會說對信號D延遲了一個時鐘周期。

D觸發器是無法識別數據D在時鐘上升沿后肆意變化的那部分(因為D觸發器在非觸發沿時是保持輸出不變,而不會再采樣數據的),所以才導致在D觸發器看來D和D’是一模一樣的信號??!

最后,有如下結論:
1.數據D在建立保持時間窗口內必須保持穩定,D觸發器采樣到的就是該穩定數據。
2.D觸發器采樣后,經過Tco時間后即可輸出到Q
3.保持時間過后,如果數據變化了,D觸發器無法感知
4.Tco < Th,且 Th很小,均由
fpga器件型號決定

根據前3條,我們可以在仿真時認為,D觸發器一直對CLK上升沿左邊的信號進行采樣,并保持一個時鐘周期T的時間,因為一直采樣的是前一刻(上一個T)數據D的值,并且保持T,所以長遠來看,D觸發器對數據D延遲了一個時間周期!

原文標題:如何理解D觸發器延遲一拍

文章出處:【微信公眾號:FPGA設計論壇】歡迎添加關注!文章轉載請注明出處。

審核編輯:湯梓紅
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21368

    瀏覽量

    594728
  • D觸發器
    +關注

    關注

    2

    文章

    158

    瀏覽量

    47509
  • 時鐘周期
    +關注

    關注

    0

    文章

    14

    瀏覽量

    12065

原文標題:如何理解D觸發器延遲一拍

文章出處:【微信號:gh_9d70b445f494,微信公眾號:FPGA設計論壇】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    用高頻時鐘檢測低頻時鐘的上升沿,用兩觸發器還是

    用高頻時鐘檢測低頻時鐘的上升沿,用兩D觸發器還是
    發表于 12-18 15:45

    簡單的verilog設計同步清零觸發器求助

    使用帶同步清零端的D觸發器(清零高電平有效,在時鐘下降沿執行清零操作)設計下一個下降沿觸發D
    發表于 07-30 21:01

    寄存、鎖存觸發器的區別

    型的觸發器(flip-flops)電路具有指示,如T(切換)、S-R(設置/重置)J-K(也可能稱為Jack Kilby)和D延遲)。典型的觸發
    發表于 07-03 11:50

    FPGA至簡設計法之D觸發器、波形、代碼

    ,也就是說先有時鐘上升沿才有q的變化。如果下一個時鐘上升沿沒有到來,那么q的值就保持不變。因此,q的值是在時鐘上升沿之后點點變化。這就是
    發表于 09-20 15:09

    關于D觸發器的問題

    `如圖所示,圖中第一個觸發器D接第二觸發器的非Q端,這個時序圖,整不明白啊,我的看法是:當第一個
    發表于 01-16 11:50

    淺析觸發器

    Jack Kilby)和D延遲)。典型的觸發器包括零、或兩
    發表于 06-20 04:20

    什么是觸發器 觸發器的工作原理及作用

    的列。例如,觸發器可以使用另一個表中的 SELECT 比較插入或更新的數據,以及執行其它操作,如修改數據或顯示用戶定義錯誤信息。3)觸發器
    發表于 12-25 17:09

    如何使用DMC為LX50T Virtex-5內的觸發器生成時鐘

    大家好,我正在使用DMC為LX50T Virtex-5內的觸發器生成時鐘。我在CLKIN和CLKO之間有很大的延遲,即DCM內的Fedd
    發表于 06-16 14:04

    JK觸發器基本教程,講的超詳細??!

    ”和“切換”。JK觸發器的符號類似于SR雙穩態鎖存的符號,如上教程中所見,只是增加了時鐘輸入?;镜腏K觸發器在其發明者杰克·基爾比(J
    發表于 02-01 09:15

    圖文并茂:D觸發器電路設計教程

    觸發器的Q輸出直接連接到D輸入,從而使器件具有閉環“反饋”,則連續的時鐘脈沖將使每兩時鐘周期
    發表于 02-03 08:00

    置復位雙D觸發器CD4013電子資料

    概述:CD4013是款由兩相同的、相互獨立的數據觸發器構成的置/復位雙D觸發器。每個
    發表于 04-08 06:08

    哪些觸發器時鐘有效哪些無效

    觸發器沒有使用相同的時鐘信號,需要分析哪些觸發器時鐘有效哪些無效分析步驟和同步時序電路樣,不過要加上
    發表于 09-06 08:20

    常見的觸發器包括哪些

    單片機內部有大量寄存, 寄存種能夠存儲數據的電路, 由觸發器構成。1.觸發器
    發表于 01-20 07:13

    D觸發器,CLK突變時,輸入D也突變,觸發器的輸出應該如何判定?

    做了仿真:key_in作為D觸發器的輸入,led_out作為觸發器輸出,時鐘
    發表于 01-25 22:41

    JK觸發器D觸發器所使用的時鐘脈沖能否用邏輯電平開關提供?

    JK觸發器D觸發器所使用的時鐘脈沖能否用邏輯電平開關提供?為什么?
    發表于 05-10 11:38
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>