<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

PCI Express串行解決方案在Virtex-5 LXT平臺中的應用

電子設計 ? 來源:中電網 ? 作者:中電網 ? 2021-06-18 11:29 ? 次閱讀

最終用戶需要更多具備多媒體功能的終端;從iPod視頻或YouTube這樣的博客站點就能看出這一趨勢。當用戶接收這類數據時,對于有效存儲和更高的互聯速度的要求變得十分關鍵。

Gbps是今天應用對帶寬的要求,并且注意力也從處理速度轉移到了高速互聯上。多種的串行標準已經出臺。支配這些標準的主要市場需求是:

·可升級的性能

·可擴展的功能集,以適應不同的產品型號(芯片到芯片、底板、電纜)

·適用于不同細分市場和應用的互聯

·在主流的應用中實現低成本的串行解決方案

PCI Express(PCIe) 是一種主流的串行標準,它是2002年問世的第三代 I/O 互聯技術,為從 PCI 到 PCIX(參見表1)提供了一條升級路徑。PCIe 已成為PC 產業的標準互聯技術,并且在其它應用中也有巨大的發展動力(圖1)。它具有可升級能力、可擴展的功能集、很強的市場適應能力和低成本特性。

·PCIe的核心優勢包括:

·高速串行標準能以每條通道2.5Gbps的線速進行雙向通信

·基于分層數據包的架構,為模塊化設計創造了條件

·通過更簡單的升級就能實現帶寬增強(高達80GB)——1、2、4、8、16和32條通道

·先進功能,比如可靠性、電源管理和熱插拔

·借助虛擬通道、業務等級和服務質量(QoS)等特性支持下一代三維/多媒體業務

·全新外形和革新的設計方便使用,為設計滿足不同細分市場需求的產品創造了條件

·軟件投資保護,支持現有的PCI架構和基礎設施

PCI規格總線寬度傳輸率通道寬度連接速率最大數據帶寬

注:PCI Express 2.0規范“尚在開發之中”。

表1:PCI/PCI-X/PCIe 規格和帶寬

圖1:PCI Express 的發展態勢

圖2:Virtex-5 LXT FPGA中的 PCIe 端點模塊

用戶的歡迎、設計訂單和用戶的積極反饋,使我們更好地理解 PCI Express 在客戶產品中固有的優勢。為了能在今天創建解決明日問題的解決方案,并跟上時代迅速發展的步伐,Xilinx 公司在其 VirtexTM-5 LXT 器件中融入了硬 PCI Express 端點模塊(圖2)。

Xilinx 的PCIe 端點模塊的顯著優勢包括:

完整的功能,完全符合 PCIe 基本規格 v1.1 版要求

—可配置的 PCIe 端點解決方案

通過PCI plug-fest的兼容性/通用性測試

(www.pcisig.com/developers/compliance_program/integrators_list/pcie)

支持1-、2-、4-或8-通道的實現

符合所有關鍵要求

—接面信號標準

—協議(CRC、自動重試)

—QoS

—熱插拔

采用 Xilinx? RocketIOTM GTP 收發器模塊

—PCI Express 終端規格

—100MHz 直接參考時鐘

節約資源

—內嵌于所有 Virtex-5 LXT 器件

—與 GTP 收發機相鄰

簡易設計

—縮短設計周期

—簡化、直接的設計流程

低成本和低功耗

使用可配置的 block RAM 來進行數據包緩沖處理

—接收緩沖器

—發送緩沖器

—重試緩沖器

簡單的事務處理層接口,便于集成

提供統計和監控數據

—信用狀況、最大有效載荷、錯誤信號

兩條虛擬通道用于QoS

—循環調度 (round robin)、加權循環調度 (weighted round robin)或嚴格優先級調度

圖3:高性能 Virtex-5 LXT PCIe 解決方案節省了功耗和板卡空間

使用Virtex-5 LXT PCIe模塊進行設計

PCI Express 的發展勢頭很旺,并被PC業界廣泛接受。工程師在使用基于 Virtex-5 LXT FPGA 的 PCIe 端點進行設計時,也能夠通過利用以下這些優勢,來引導 PCI Express 在新市場上的增值能力:

更快的上市時間?,F有的 ASSP 大多不能支持今天的 PCIe;FPGA 可把并行的專有協議接口和 PCIe 之間搭建一座橋梁。此外,PCI Express 標準的持續改變,在形成廣泛市場基礎前,阻止了 ASIC/ASSP 的發展。一個典型的案例是最近于2006年9月召開的英特爾開發者論壇上由英特爾和IBM共同發布的 “Geneseo” 架構以推動新應用的發展。Xilinx 公司也積極支持擴展 PCIe 構架的倡議。

更低的功耗和更小的占位面積。使用 Virtex-5 LXT 解決方案(圖3)能達到更高性能同時縮小產品設計的體積。PCIe 端點模塊能夠讓設計人員在選擇更小器件的同時,仍能節省大量的功耗和成本。

專用協議和現有標準與 PCIe 之間的橋梁。傳統產品的小型化(針對 PCI Express 而優化),需要在現有標準和 PCI Express 之間搭建一座橋梁。新型 Virtex-5 LXT 平臺提供了實現這種轉換所需的定制和邏輯資源,還提供了通往其它串行標準的橋梁。

?可升級的解決方案。PCI Express 協議已經成為主流,但協議本身和用戶終端還處于迅速發展階段。使用 Virtex-5 LXT PCIe 端點模塊進行設計,使你能夠將同一 Virtex-5 系列產品,從1條通道升級到4條通道,甚至8條通道。這就使你能夠驗證未來的系統和終端。此外,由于 PCIe 本身兼容 PCI和PCIX 構架,升級和設計基于 Virtex-5 LXT FPGA 的解決方案,不僅能夠保護現有的軟件投資,而且能夠延長基礎設施的使用壽命。

Xilinx PCI 的歷史

Xilinx 公司一直走在 PCI/PCI-X/PCIe 技術的最前沿。

主要成就包括:

1996:業界第一款面向 FPGA 的 PCI 核心

1999:業界第一套64位 66MHz 的 PCI 解決方案

2000:業界第一套64位、133MHz 的 PCI-X 解決方案

2003:業界第一套 PCIe 解決方案

2005:業界第一套 PCIe PIPE 解決方案——Xilinx+NXP半導體

2006:業界第一套 FPGA Express 卡解決方案——Xilinx+NXP半導體

支持多種外觀形狀。Virtex-5 LXT RocketIO GTP 收發機與競爭性 FPGA/ASSP 解決方案相比,具有巨大的功耗優勢。這使得設計人員考慮在面向新市場的產品中使用 Virtex-5 FPGA。借助面向不同解決方案的可擴充邏輯密度,設計人員可以充分發揮65納米 FPGA 內在的優勢,以支持不同的外觀形狀。例如,一張獨立顯卡的臺式機解決方案,可把Virtex-5 LXT外形小型化來達到Express卡的要求。相反,設計人員也可把獨立顯卡的PCle解決方案中的Virtex-5外形改變以支持諸如ATCA、uTCA 和服務器I/O模塊之類的高性能解決方案。

表2:Virtex-5 LXT PCIe 端點的應用

PCI ExpressFabric 拓撲

PCI ExpressFabricTM 拓撲,指這樣一種體系,其中包含了ROOT COMPLEX(RC)、多個終端(I/O器件)、開關和PCI Express/PCI 橋路,它們通過 PCI Express 進行互聯。

RC是I/O層次的根部,將CPU/存儲器子系統與I/O相連。RC可以支持一個或多個PCI Express 端口,例如英特爾芯片組。

開關定義為多個虛擬 PCI 之間的橋路器件的邏輯組,它們使用一種基于地址路由的 PCI 橋路機制來傳遞執行信息,例如 IDT PCI Express 開關。

端點是指能作為 PCI Express 執行的請求者或完成者的那一類器件,可以是 PCI Express自身,也可以是一個非 PCI Express 器件,例如連接在 PCI Express 上的圖形控制器。

圖4:PCI Express 總線拓撲

圖5:通信系統中的PCIe

圖6:高端桌面/服務器系統中的PCIe

帶有內置PCIe 端點模塊的 Virtex-5 LXT FPGA,能夠被用于任何一種外形的產品設計,如表2所示。

圖5和圖6顯示了采用 Virtex-5 LXT PCIe 端點模塊集中多源業務以及實現其他協議和 PCI Express 協議互通的各種應用的框圖。

結論

內置 PCIe 端點模塊和 RocketIO GTP 收發機的 Virtex-5 LXT 平臺,是一種功能全備并且完全符合 PCI Express 總線協議的 PCIe 解決方案,具有極大的價值?,F在,產品制造商不再需要購買知識產權許可證,同時可以得到更低的功耗和很少使用的邏輯資源。通過使用功耗只有軟IP替代品50%的更小的 FPGA 器件,能夠大幅度地降低成本。內置的硬模塊可縮短設計時間,保障產品功能和易用性。

Virtex-5 LXT平臺在一塊高速、低功耗的65納米 FPGA 上提供了獨特的內置 PCIe 能力,開創了高效 PCIe 系統開發的新時代。

責任編輯:gt

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21358

    瀏覽量

    594369
  • 服務器
    +關注

    關注

    12

    文章

    8249

    瀏覽量

    82887
  • 總線
    +關注

    關注

    10

    文章

    2722

    瀏覽量

    87322
收藏 人收藏

    評論

    相關推薦

    virtex-5 電源解決方案

    如題,求virtex-5 XF5VLX330的電源配置解決方案
    發表于 01-06 17:04

    基于PCI Express的數據采集卡設計

    Express接口的數據采集卡.本人非常熟悉Spartan-6, Virtex-5/Virtex-6/7 Series FPGA PCI Expr
    發表于 03-20 22:58

    PCI Express Endpoint Master DMA

    本人在北京工作6年以上,從事FPGA外圍接口設計,非常熟悉PCI Express協議,設計調試了多個基于PCI Express接口的數據采集卡.本人非常熟悉Spartan-6,
    發表于 08-23 13:15

    基于Virtex-5 LXT助力串行背板接口設計

    回避的挑戰。為了應對串行背板設計中的這一系列挑戰,Xilinx推出了Virtex-5LXT FPGA平臺和IP解決方案。串行背板
    發表于 04-12 07:00

    基于Virtex-5 LXT串行背板接口設計

    線卡提供了分布式交換和QoS功能。 線卡邏輯接口可以輕松地裝入到XC5VLX30T器件上,而中繼卡接口結構則可裝入到XC5VLX50T器件上。與星形系統示例類似,利用Virtex-5LXT解決
    發表于 04-16 07:00

    基于Virtex-5 LXT串行背板接口設計

    不可回避的挑戰。為了應對串行背板設計中的這一系列挑戰,Xilinx推出了Virtex-5LXT FPGA平臺和IP解決方案。 串行背板
    發表于 04-16 07:00

    PCI Express的市場趨勢及相關應用

    Express 客戶產品中固有的優勢。為了能在今天創建解決明日問題的解決方案,并跟上時代迅速發展的步伐,Xilinx 公司在其 VirtexTM-5
    發表于 05-08 07:00

    為什么推出Virtex-5LXT FPGA平臺和IP解決方案?

    為什么推出Virtex-5LXT FPGA平臺和IP解決方案?如何打造一個適用于星形系統和網狀系統的串行背板結構接口FPGA?
    發表于 04-29 06:18

    基于Virtex-5 LXT FPGA的PCIe端點該怎樣去設計?

    PCIe是什么?有什么核心優勢?Xilinx的PCIe端點模塊的顯著優勢包括哪些?基于Virtex-5 LXT FPGA的PCIe端點該怎樣去設計?
    發表于 05-26 06:39

    串行背板接口設計挑戰

    隨著帶寬要求與日俱增,串行背板技術的應用越來越多。本文介紹了利用 Xilinx Virtex-5 LXT FPGA 實現串行背板技術的解決方案
    發表于 05-20 15:15 ?35次下載
    <b class='flag-5'>串行</b>背板接口設計挑戰

    利用Virtex-5 SXT 的高性能DSP解決方案

    Xilinx Virtex-5 SXT FPGA 平臺提供了獨特的單芯片解決方案,充分利用大規模并行計算達到超高性能,同時將功耗降到最低。
    發表于 08-20 15:22 ?1030次閱讀
    利用<b class='flag-5'>Virtex-5</b> SXT 的高性能DSP<b class='flag-5'>解決方案</b>

    基于Virtex-5的3.125G串行傳輸系統的設計

    本文基于Virtex-5 FPGA的GTP單元給出了一種在高級電信計算架構(ATCA)機箱內實現單對差分線進行3.125Gbps串行傳輸的設計方案。
    發表于 09-16 09:52 ?1777次閱讀
    基于<b class='flag-5'>Virtex-5</b>的3.125G<b class='flag-5'>串行</b>傳輸系統的設計

    基于Virtex-5 LXT FPGA 設計 TCP 卸載解決方案

    隨著數據中心網絡基礎設施逐步升級到10Gbps,讓基于以太網的解決方案承載數據業務成為一種經濟可行的方法,并且不會降低性能,延長時延?;谟布闹鳈C接口(如 PCI Express 和千兆級以太網
    發表于 11-06 11:17 ?2次下載
    基于<b class='flag-5'>Virtex-5</b> <b class='flag-5'>LXT</b> FPGA 設計 TCP 卸載<b class='flag-5'>解決方案</b>

    如何使用外部FET的DC/DC控制器對 Virtex-5收發器的功率

    該電源被設計成為Xilinx、Virtex-5、LXT和FXT平臺中的收發器提供電源。
    發表于 05-18 16:30 ?11次下載
    如何使用外部FET的DC/DC控制器對 <b class='flag-5'>Virtex-5</b>收發器的功率

    如何使用集成FET的DC/DC轉換器的Virtex-5 收發器的功率

    該電源被設計成為Xilinx、Virtex-5、LXT和FXT平臺中的收發器提供電源。
    發表于 05-18 16:33 ?13次下載
    如何使用集成FET的DC/DC轉換器的<b class='flag-5'>Virtex-5</b> 收發器的功率
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>