<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

基于SOC和IP核復用技術實現綜合業務接入系統集成電路的設計

電子設計 ? 來源:天津工業大學學報 ? 作者:苗長云,曹曉東, ? 2021-05-22 17:35 ? 次閱讀

作者:苗長云,曹曉東,李鴻強,石博雅

片上系統SoC( system on chip)是ASIC( application specific integrated circuits)設計方法學中的新技術,是指以嵌入式系統為核心,以IP核復用技術為基礎,集軟、硬件于一體,并追求產品系統最大包容的集成芯片。

SoC最早出現在20世紀90年代中期,1994年MOTOROLA公司發布了Flex Core系統,用來制作基于68000和PowerPC的定制微處理器。1995年,LSILogic公司為SONY公司設計的SoC是基于IP( IntellectuaLProperty)核進行SoC設計的最早報道。由于SoC可以利用已有的電路和IP核進行設計,從而能夠顯著地提高設計效率,得到了迅速發展。從技術層面上看,以下幾個方面推動了SoC技術的發展:

①微電子技術的不斷創新和發展,大規模集成電路的集成度和工藝水平不斷提高,已從亞微米進入到深亞微米和超深亞微米。其特點為工藝特征尺寸越來越小,芯片尺寸越來越大,單片上的晶體管數越來越多,時鐘速度越來越快,電源電壓越來越低,布線層數越來越多,I/O引線越來越多。這使得將微處理器、存儲器、DSP和各種接口集成到一塊芯片上成為可能。

②計算機性能的大幅度提高,使很多復雜算法得以實現,為計算機輔助設計提供了物理基礎。

EDA(ElectroniCdesign automation,采用CAD技術進行電子系統和專用集成電路設計)綜合開發工具的自動化和智能化程度不斷提高,為集成電路設計提供了不同用途和不同級別的一體化開發集成環境。

④硬件描述語言(HDL)的發展為集成電路設計提供了建立各種硬件模型的工作媒介。目前,比較流行的HDL語言包括已成為IEEE STD1076標準的VHDL、已成為IEEE STD 1364標準的Verilog HDL和作為Altera公司企業標準的AHDL等。

為了加快SoC芯片設計的速度,人們將已有的IC電路以模塊的形式,在SoC芯片設計中調用,從而簡化芯片的設計,縮短設計時間,提高設計效率。IP核是一種預先設計好、已經過驗證,并具有某種確定功能的集成電路、器件或部件。本文闡述了現代集成電路技術中的SoC及其IP核的設計方法,在分析了SoC的特點及其IP核的基本特征后,給出了SoC及其IP核設計中的系統級設計軟件、IP核開發流程和關鍵技術,并提出了將其應用于綜合業務接入系統的集成電路設計中。

SoC的特點及其IP核的基本特征

SoC的特點

SoC將數字電路、模擬電路、信號采集和轉換電路、存儲器、MPU、MCU、DSP等集成在一塊芯片上實現一個系統。以超深亞微米(VDSM)工藝和IP核復用( IPReuse)技術為支撐的系統級芯片技術將是超大規模集成電路(VLSI)發展的必然趨勢和主流。其特點在于:①功能強,規模大。SoC芯片中不僅包括了MPU、SRAM、DRAM、EPROM、閃速存貯器、ADC、DAC等數字電路設計中的基本模塊,還具有一些其它模擬和射頻電路,因此其功能是非常強大的。②結構復雜。VDSM使系統級芯片更大更復雜。數百萬門的SoC中不僅包括了復雜的數字電路部分,更包括了一些模擬電路和射頻電路,這就使得SoC的結構復雜,相應的設計工作也復雜化。③速度高,時序關系復雜。隨著工藝向0.13μm或更小尺寸90nm發展,需要精確處理的不是門延遲而是互連線延遲。數百兆的時鐘頻率以及模塊內和模塊外錯綜復雜的信號間時序關系給設計帶來了許多的問題,如時序驗證、低功耗設計、信號的完整性以及電磁干擾和信號串擾等。

IP核的基本特征

IP核的基本特征包括:①通用性。通用性好是指具有某一功能的IP核,可以在不同應用方面使用。IP核具有滿足子功能可配置甚至可編程的特點,如最常見的嵌入式CPU模塊就具有非常好的通用性。②正確性。正確性有百分之百的保證,是指IP核的實現嚴格遵守一系列的可重用設計開發規范,IP核的驗證用例必須具有完備性,功能覆蓋率、測試覆蓋率都能夠達到百分之百,并能夠完全覆蓋IP核工作的臨界條件,提供相應的大流量測試、隨機性測試,甚至能夠提供軟硬件協同仿真的測試環境等。③可移植性??梢浦残院檬侵窱P核的實現,如行為描述、網表、GDSII文件,具有可移植性,其設計輸入可以在不同的開發平臺上重現;綜合用批處理文件具有可移植性,IP核的綜合結果可以用不同的綜合工具,在不同的綜合庫條件下正確重現;IP核的驗證可以用不同的仿真器,在不同的仿真庫條件下重現。

SoC的系統級設計軟件及其IP核開發流程

SoC的系統級設計軟件

(1)VirtuaL ComponentCodesign和SignaL ProcessingWorkSystem軟件。

這兩個軟件是Cadence公司的系統級設計工具,具有對系統級設計的定義、分析和優化能力。Virtual ComponentCodesign (VCC)是一個可以提供IP核重用的、基于平臺的軟硬件協同設計開發環境。它提供了系統集成和驗證的必要技術和工具,可利用其環境進行系統的關鍵體系結構的決策,如軟硬件的劃分。VCC能提高IC設計的效率和結果的可預測性。SignaLProcessingWorksystem ( SPW)是一個系統級的DSP設計工具,它實現從C描述到RTL的轉換。SPW可集成C、MATLAB、HDL等模塊以及3G無線通信、藍牙等模塊庫,輸出Verilog/VHDL描述。

(2)Cocentric系統軟件

Synopsys公司的Cocentric系列提供了復雜系統的關鍵元件模塊的并發設計、驗證、細化和實現的設計工具和設計方法,這些模塊可以包含硬件模塊和軟件模塊。Cocentric系列包括System Studio、FixedpointDesigner、System CHDL Cosimulation和System CCompiler。System Studio提供一個系統級軟硬件協同設計工具環境,提供多層次的、圖形化的及HDL的抽象描述方法,使設計者在一個基于C、C++和System C的統一環境中,快速生成所設計系統的可執行的系統級功能說明,該說明可用于驗證,也可用于硬件或軟件實現。Fixed-pointDesigner能進行浮點類型到定點類型的轉換。System CHDLCosimulation提供了一個模擬器接口,使模擬器與一些硬件描述語言模擬器同步。System CCompiler能實現System C描述到門級描述或RTL級VHDL/Verilog描述的轉換。

IP核開發流程

SoC中IP核開發流程主要包括IP核設計和IP核驗證兩條主線。IP核設計流程一般可劃分為確定規格和模塊劃分、子模塊的定義和設計、頂層模塊的設計和產品化等4個階段。IP核驗證流程包括了建立參照模型、建立測試平臺和準備驗證用例、回歸測試、形式驗證。IP核開發流程如圖1所示.

IP核設計分4個階段:

①確定規格和劃分模塊。IP核的規格主要包括概述、功能需求、性能需求、物理需求、詳細的結構模塊框圖、對外系統接口的詳細定義、可配置功能詳細描述、需要支持的制造測試方法和需要支持的驗證策略等。確定規格的過程一般又包括行為建模功能論證和可行性分析等活動。劃分模塊是指在給出IP核結構模塊框圖的同時,對于每個子模塊給出一個詳細的功能描述,同時必須明確子模塊之間的接口的時序要求。確定規格和劃分模塊是IP核開發是否成功最為關鍵的一步。

圖1IP核開發流程

②子模塊定義和設計。在對所有子模塊的規格進行討論和審查后,需要重點檢查時序接口和功能接口的一致性,并隨后整理出子模塊的詳細設計方案,再按照實現方案開始編寫RTL代碼、編寫時間約束文件、綜合批處理文件、子模塊驗證用測試平臺( testbench)和測試套件( testsuite)等。當完成并通過代碼規范性檢查、測試覆蓋率檢查、功能覆蓋率檢查、性能分析,包括DFT、STA檢查,功耗分析檢查等驗收以后,子模塊就可用來與其他模塊一起集成。

③頂層模塊設計。頂層模塊的設計就是把子模塊集成起來,產生頂層模塊,并對它做綜合處理和功能驗證。綜合過程包括編寫綜合的批處理文件,在不同的參考庫上綜合,針對在制造上的可測試性插入掃描鏈、ATPG,并進行最終的性能分析和功耗分析等。驗證過程包括根據由行為模型發展來的測試向量對頂層模塊進行仿真測試、針對IP核的可配置選項進行多種配置條件下的回歸測試和利用仿真工具檢驗測試向量的覆蓋率等。

④ IP核的產品化。IP核產品化的過程包括提供

IP核設計和驗證用testbench,用商用轉換器進行打包提交,但轉換后需要重新驗證,比如做回歸測試以確保轉換有效,并強調在幾個主流仿真器上做仿真,在幾種主要工藝庫上做綜合和門級仿真,并做形式驗證以保證網表和RTL級的一致性,產生或更新用戶文檔等。

IP核驗證的主要過程有:

①建立參照模型。這里的參照模型主要用于對系統功能進行驗證以及和RTL模型的對照驗證,該模型可用System C/Verilog/VHDL等語言來構造。

②建立測試平臺。測試平臺的建立是指與子模塊設計并行,搭建驗證環境和開發測試用例,并針對IP核的行為級模型對測試環境和測試用例進行調試,從而同步準備好用來仿真測試RTL級IP核的驗證環境和測試用例。

③回歸測試?;貧w測試保證在修改一個錯誤或加入一個新功能時,用來保證已經驗證過的基本功能仍然正確。在驗證過程中找到一個錯誤或加入一個新的功能時,要把它們對應的測試用例及時加入到回歸測試集中。

④形式驗證。形式驗證是一種系統級的驗證手段,不需要測試向量,而是通過判斷兩個設計是否等價來確認它們的功能是否一致。因此形式驗證必須事先有一個參照設計。在IP核驗證過程中,前面建立的參照模型就是參照設計。形式驗證常用來判斷設計更改后和更改前實現的功能是否一致。同時,形式驗證也被用來確認綜合后、插入掃描鏈后、版圖提取后網表實現的功能前后是否一致。

SoC及其IP核的關鍵技術

IP核的關鍵技術

IP核開發的技術模型如圖2所示,它集中體現了IP核開發的幾個技術需求:① IP核規格的定義;②IP核模塊的編碼、綜合、驗證、文檔開發等;③IP核開發過程中EDA工具的支撐;④ IP核開發過程中的質量控制;⑤ IP核打包提交技術;⑥ IP核評測技術。其中IP核的規格定義、IP核的驗證、IP核的打包提交被認為是IP核開發過程中的三大關鍵技術。

基于SOC和IP核復用技術實現綜合業務接入系統集成電路的設計

IP核的規格定義必須能夠解決以下問題:①明確IP核需要提供什么樣的功能,性能需要達到什么樣的技術指標;②定義好IP核與外部系統的接口;③定義好IP核在可移植性方面所做的努力;④定義好IP核的面積和功耗等物理特性。

IP核的驗證必須是完備的、具有可重用性的。IP核驗證的完備性首先要求在設計IP核仿真測試方案時必須體現出以下幾個方面:①從IP核的規格出發,對每一個模塊測試功能點( testfeature)進行分析,定義每個子模塊的仿真測試目標,并確定哪一個測試向量可以驗證該測試功能點;②整理一個明確的測試列表,包括對目標的估計和測試覆蓋情況;③對測試用例詳細設計,并定義驗證通過的標準。IP核驗證的可重用性首先要求搭建的IP核仿真環境是由一系列可重用的測試組件構成的,如定義總線功能模型、通用的數據處理任務集等。

IP核打包技術是指在IP核開發基本結束后將分布的設計信息進行集成的技術。其主要目的是使得設計者提供完整、清晰、明了的設計信息。軟IP核開發者提交給用戶的信息應包括:① 可綜合的HDL代碼和它的子模塊;②綜合腳本文件及時間約束文件;③插入掃描鏈和做ATPG的腳本文件;④綜合和仿真用參照庫模型;⑤驗證中使用的總線功能模型和其他的系統組件模型;⑥測試激勵文件及相應測試向量;⑦用戶文檔包括用戶手冊/功能描述、數據手冊等;⑧應用要點包括該IP核使用的具體的例子、可配置特性等。對需要軟件支持的IP核,還應該提供相應的嵌入式軟件信息。

SoC中IP核集成中的關鍵技術

SoC中IP核集成中需要考慮的問題有:

①在對系統結構模塊劃分過程中,必須考慮目標SoC采用什么樣的片上總線結構,確定哪些IP核需要購買,IP核模塊的對接需要增加哪些連接性設計,哪些IP核需要從頭開始設計。建立正確、高效、靈活的片上總線結構已成為IP核集成的關鍵。在IP核集成的過程中,既可以選用國際上公開通用的總線結構也可以選用根據特定應用而自主開發的片上總線。國際上比較成熟的總線結構有ARM公司的AMBA總線等。在確定片上總線結構時,并不排斥在IP核內部做一些接口轉換的設計,但是這種設計的內容要盡可能簡單。

②模塊之間的接口協議應盡可能簡單,模塊間的接口定義盡可能與國際上通用的接口協議一致。其中一個常用的設計技巧就是在數據傳送的接口中建立申請和應答機制。這雖然會造成芯片在時序、面積、功耗等方面的損耗,但可以有效縮短SoC的開發周期。

③要注意積累IP核集成的經驗。一旦成功地將一個IP核集成到了一個系統,就應該進一步完善該IP核,使之具有更好的可重用性,并逐步建立一系列的衍生IP核。同時,把集成該IP核的經驗教訓及時記錄下來形成技術文檔,以便于后面的IP核集成。

④對于IP核的集成,還應該使時鐘分布、關鍵路徑的走線、電源、地線的走線及IP核支持的測試結構與系統芯片保持一致。

SoC中IP核集成中的另一個關鍵技術是IP核的評估與選擇。IP核的評估是指通過一個完整的Checklist來系統地檢查IP核設計。評測分為系統設計、編碼、綜合和驗證等部分,并根據評測規則的重要程度為不同的規則檢查分配不同的權值。這樣在評測之后,就可以直觀地看出整個設計的可重用性和在每一部分上的得失。它有助于我們了解一個設計的可重用性,以幫助從性能、規模、成本和功耗等不同方面來評估IP核。

目前業界比較具有影響力的評測標準是由Synopsys和Mentor聯合開發推行的基于RMM的OpenMORE評測標準。選擇IP核模塊時首要考慮的因素是IP核與目標系統的配合程度。一般說來,最好選擇那些無需修改的IP核模塊。但是如今的大多數設計人員在獲得了IP核后必須進行修改,修改的范圍包括各個設計層次上的IP核模型。這種修改會耗費大量的時間和資源,不僅會耽誤產品的研發進度,還會給整個設計流程引入風險,并讓IP核廠商的支持變得困難重重。雖然某種程度的修改是不可避免的,但是如果設計人員能夠犧牲一點芯片面積或功能來換取盡可能少地修改IP核模塊,那么情況就會有所改善。即使某些模塊的功能可能超過了系統的需求,但是為了盡量少地進行IP核的修改,也應該在某些不太重要的功能上做出妥協,以便直接使用一些我們需要的功能模塊。選擇IP核模塊時還要考慮到諸如評估IP核的品質、集成的方便程度和可重用性以及IP核提供者所能提供的技術支持程度等因素。如使用手冊的內容是否詳盡完備、是否提供完整的設計和驗證環境、是否有成功集成的先例以及接口定義標準的遵從程度、未來發展升級的可能性、獲取IP核授權的效率和合作廠商的可信賴程度等。

綜合業務接入系統集成電路設計中的應用

隨著計算機網絡向更高的傳輸速率發展,從100Mbit/s到1000Mbit/s,從1000Mbit/s到1Gbit/s,目前已經達到10Gbit/s或40Gbit/s,不久可達到80Gbit/s或100Gbit/s,因此計算機網絡具有巨大的潛力。目前計算機網絡主要用于計算機間的數據傳輸,其巨大的潛力沒有很好的得到充分挖掘。利用計算機網絡,以軟交換設備為核心,能夠提供話音、圖像和數據等多媒體綜合業務的下一代網絡( nextgenaration network,以下簡稱NGN)正深刻地改變著傳統的電信觀念和體系框架,是電信網絡發展與演進的目標。NGN中的關鍵接入設備為綜合業務接入設備。

利用本文介紹的SoC及其IP核的設計方法,對綜合業務接入設備中的綜合業務接入集成電路及IP核進行了設計。根據SoC的系統需求,利用系統級設計軟件SPW進行音視頻編解碼算法、通信協議的設計和驗證,根據信號流程圖生成正確的模擬效果并自動生成Verilog源程序。設計中部分IP核從第三方購買,自主開發研究了語音接口,進行了音頻編解碼G.711、G.723。1、G.728算法和協議的IP核設計;研制了具有自主知識產權的語音壓縮算法和IP核,提高了網絡語音通信的安全性;開發了視頻接口,進行了視頻編解碼MPEG-24算法和協議的IP核設計;設計了RS232、RS485異步通信接口,制定了通信協議,并開發其IP核;開發了以太網接口,進行了信令協議SIP、H.323、MGCP和H.248的IP核設計。項目中音視頻編解碼IP核、數據采集接口電路IP核和以太網通信接口傳輸協議IP核的總線標準采用AMBA,并完成RTL代碼和TestBench的開發,進行RTL級的仿真,完成綜合、生成網表、生成時序和面積報表,生成功耗報表,并完善開發文檔,其中包括IP核性能的描述、RTL代碼文件的說明、綜合結果的說明、內部寄存器列表及說明、詳細的功能描述和操作流程描述、測試激勵文件說明和測試方案與測試方法說明等。

SoC設計原型的驗證采用Altera公司內嵌ARM922T硬核的Excalibur器件,實時操作系統采用μC/OS-II,并在以太網上進行了語音、圖像和數據的傳輸實驗。

該集成電路可以廣泛應用于國內外通信企業生產的IAD、語音網關、數據采集器和視頻編解碼器中,從而填補我國基于軟交換的NGN中關鍵集成電路芯片的空白。

結束語

利用本文介紹的設計方法可以使IP核的設計過程條理化,從而可以避免設計過程中做重復的工作。其結果是縮短了IP核的設計周期而且所開發的IP核具有更高的正確性和更好的可移植性,最重要的是開發過程中所形成的文檔將更加有利于IP核的集成和后續的IP核開發。由于IP核的開發周期是SoC開發周期的一個重要的組成部分,所以通過該IP核的設計方法可以有效地縮短SoC的開發周期,提高產品的市場競爭力。采用該SoC及其IP核設計方法,設計了NGN中綜合業務接入系統集成電路,取得了良好的效果。

責任編輯:gt

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 集成電路
    +關注

    關注

    5322

    文章

    10758

    瀏覽量

    353637
  • soc
    soc
    +關注

    關注

    38

    文章

    3752

    瀏覽量

    215810
  • 計算機
    +關注

    關注

    19

    文章

    6654

    瀏覽量

    84722
收藏 人收藏

    評論

    相關推薦

    片上系統(SOC)設計流程及其集成開發環境

    (VDSM-Very Deep Subnicron)工藝和知識產權(IP——Intellectual Property)復用(Reuse)技術為支撐。
    發表于 09-27 11:46

    ATM流量控制器IP的設計和實現

    可以移植到不同的半導體工藝中去生產集成電路芯片。隨著CPLD/FPGA的規模越來越大,設計越來越復雜,使用IP是一個趨勢?! ”疚难芯苛薃TM流量控制的原理,并給出了一種IP
    發表于 09-27 11:54

    LCD的通用驅動電路IP設計

    ,國際上只有I-Shou大學的Yu-Jung Huang等人設計了可驅動不同規模LCD的驅動電路IP,通過在系統中植入嵌入式微處理器來實現
    發表于 08-12 12:28

    SoC系統級芯片

    SoC,系統級芯片,片上系統,是一個有專用目標的集成電路,其中包含完整系統并有嵌入軟件的全部內容。同時它又是一種
    發表于 05-24 19:18

    淺談SOC系統知識

    ,應用電子系統的設計也是根據功能和參數要求設計系統,但與傳統方法有著本質的差別。SOC不是以功能電路為基礎的分布式系統
    發表于 08-05 09:08

    集成電路的設計與概述

    、測試)之一,是技術密集度最高、最具有增值效應的技術。集成電路設計是結合信息技術和半導體技術的一個橋梁,主要任務是把應用
    發表于 05-04 10:20

    開放協議:IPSoC設計中的接口技術

    設計集成到單個芯片中即實現片上系統SoC。IP復用
    發表于 12-11 11:07

    詳解數字集成電路分類是怎么分的?

    (systemonchip,SOC)。片上系統就是將整個系統集成到單一半導體芯片上。更確切地說,片上系統是指綜合數字和模擬
    發表于 02-26 09:51

    基于IPSoC接口技術

    引言隨著半導體技術的發展,深亞微米工藝加工技術允許開發上百萬門級的單芯片,已能夠將系統級設計集成到單個芯片中即實現片上
    發表于 06-11 05:00

    如何設計RS232異步串行口IP?

    on Chip)是以嵌入式系統為核心,以IP復用技術為基礎,集軟、硬件于一體的設計方法。使用IP復用
    發表于 08-20 07:53

    SoC驗證平臺的FPGA綜合怎么實現?

    基于NiosII微處理器的SOPC系統與基于MicroBlaze微處理器的SOPC系統等。它們功能強大,而且配有相應的開發環境與系統集成IP
    發表于 10-11 07:07

    基于業務事件的系統集成技術研究與實現

    集成對于像ERP 這樣的系統非常的重要,本文針對子系統集成性和二次開發性的高的系統,提出了一種事件觸發的集成
    發表于 05-25 16:33 ?22次下載

    基于IP復用SoC設計技術探討

    IP(Intellectual Property )核復用為基礎的SoC(System on a Chip,簡稱SoC)設計是以軟硬件協同設計為主要設計方法的芯片設計
    發表于 08-10 08:32 ?18次下載

    AMBA片上總線在基于IP復用SoC設計中的應用

    引言 隨著深亞微米工藝技術日益成熟,集成電路芯片的規模越來越大。數字IC從基于時序驅動的設計方法,發展到基于IP復用的設計方法,并在SOC
    發表于 11-30 09:56 ?954次閱讀
     AMBA片上總線在基于<b class='flag-5'>IP</b><b class='flag-5'>復用</b>的<b class='flag-5'>SoC</b>設計中的應用

    集成電路IP產業發展的現狀和問題分析

    集成電路是信息產業的基礎,21世紀新一代信息技術的飛速發展使集成電路呈現出快速發展態勢,而以軟硬件協同設計、IP復用和以超深亞微米為
    的頭像 發表于 12-07 10:12 ?6072次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>