<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

【ZYNQ Ultrascale+ MPSOC FPGA教程】第二十三章PS端DP的使用

FPGA技術專欄 ? 來源:芯驛電子科技 ? 作者:芯驛電子科技 ? 2021-01-27 10:59 ? 次閱讀

原創聲明:

本原創教程由芯驛電子科技(上海)有限公司(ALINX)創作,版權歸本公司所有,如需轉載,需授權并注明出處。

適用于板卡型號:

AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E/AXU4EV-P/AXU5EV-E/AXU5EV-P /AXU9EG/AXU15EG

vivado工程目錄為“ps_hello/vivado”

vitis工程目錄為“ps_dp/vitis”

本章介紹PS端DisplayPort的使用。Vivado工程仍然基于“ps_hello”

軟件工程師工作內容

以下為軟件工程師負責內容。

1. 接口介紹

DisplayPort v1.2協議,支持4個5.4G的lane,但本控制器只支持兩個lane,分辨率最大支持4096*2160@30。

控制器數據接口如下圖:

o4YBAGAKMZ-AV3rJAACwGjrvwkI806.jpg

圖中,AXI-M用于讀取內存中的視頻音頻數據,這里叫非實時音視頻,DPDMA有六個通道,其中3路用于視頻,1路用于圖形,2路用于音頻。

2. Example工程介紹

  1. 新建platform,過程不再介紹,在”PS端RTC中斷實驗”中已經介紹過。

pIYBAGAKMaCAdV-vAAAh_762qig143.jpg

1)配置BSP

o4YBAGAKMaCADSacAAB5KAKb9Uk375.jpg

并將psu_dp驅動改為dppsu,然后點擊OK

pIYBAGAKMaCAR7DDAABUWPlyL4g268.jpg

3)導入example工程

o4YBAGAKMaGATy-1AACpKRB3z1Y175.jpgo4YBAGAKMaKAVHaCAAA9psQp1fg802.jpg

4) 例子默認是1080P,RGBA顯示的,可以將RGBA的Alpha值都改成FF,使顯示效果更好,保存,并編譯工程。

pIYBAGAKMaOAaRkOAAAeO40Wiuc692.jpg

3. 板上驗證

連接板上的MINI DP接口

下載后,顯示效果如下

在串口工具中可以看到DP口進行了訓練并成功運行。

pIYBAGAKMaWAVCovAAAaGEmJ1lo721.jpg
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21367

    瀏覽量

    594696
  • 音頻
    +關注

    關注

    28

    文章

    2632

    瀏覽量

    80231
  • DP
    DP
    +關注

    關注

    1

    文章

    170

    瀏覽量

    39358
  • Zynq
    +關注

    關注

    9

    文章

    600

    瀏覽量

    46787
  • MPSoC
    +關注

    關注

    0

    文章

    194

    瀏覽量

    24148
收藏 人收藏

    評論

    相關推薦

    請問Zynq Ultrascale + MPSOC本身是否存在問題?

    你好我們正在考慮在我們的新設計中使用Zynq Ultrascale + MPSOC。我們想在我們的電路板設計中加入HDMI接口。 Zynq Ultr
    發表于 10-14 09:17

    如何調試Zynq UltraScale+ MPSoC VCU DDR控制器

      如何調試Zynq UltraScale+ MPSoC VCU DDR控制器  Zynq UltraScale+
    發表于 01-07 16:02

    如何調試Zynq UltraScale+ MPSoC VCU DDR控制器

    如何調試 Zynq UltraScale+ MPSoC VCU DDR 控制器?
    發表于 01-22 06:29

    ZYNQ Ultrascale+ MPSOC FPGA教程

    ZYNQ Ultrascale+ MPSOC FPGA教程
    發表于 02-02 07:53

    火力發電廠水汽分析方法 第二十三部分:化學耗氧量的測定(重鉻

    火力發電廠水汽分析方法 第二十三部分:化學耗氧量的測定(重鉻酸鉀法)DL/T 502.23—2006 Analytical methods of steam and water
    發表于 06-08 12:15 ?27次下載

    第二十三講 異步計數器

    第二十三講 異步計數器 概述一、計數器:用以統計輸入計數脈沖CP個數的電路。計數器的“?!保ㄓ肕表示): 二、 計數器的分類1.按計數進制分2.按計數
    發表于 03-30 16:27 ?1.1w次閱讀
    <b class='flag-5'>第二十三</b>講 異步計數器

    模擬電路網絡課件 第二十三節:乙類雙電源互補對稱功率放大電路

    模擬電路網絡課件 第二十三節:乙類雙電源互補對稱功率放大電路 5.2.1 電路的組成
    發表于 09-17 08:56 ?1895次閱讀

    2017中國西部微波射頻技術研討會暨第二十三屆國際電子測試測量研討會

    2017中國西部微波射頻技術研討會暨第二十三屆國際電子測試測量研討會
    發表于 07-05 15:12 ?913次閱讀

    Zynq UltraScale+ MPSoC的發售消息

    Zynq?UltraScale+?MPSoC,現已開始發售。視頻向您重點介紹了Xilinx UltraScale +產品組合的第一位成員
    的頭像 發表于 11-27 06:47 ?3345次閱讀

    米爾科技Zynq UltraScale+ MPSoC技術參考手冊介紹

    Zynq UltraScale+ MPSoC是Xilinx推出的第二代多處理SoC系統,在第一代Zynq-7000的基礎上做了全面升級,在單
    的頭像 發表于 11-18 11:03 ?2705次閱讀
    米爾科技<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>技術參考手冊介紹

    如何調試 Zynq UltraScale+ MPSoC VCU DDR 控制器?

    Zynq UltraScale+ MPSoC VCU DDR 控制器是一款專用 DDR 控制器,只支持在 Zynq UltraScale+
    發表于 02-23 06:00 ?15次下載
    如何調試 <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b> VCU DDR 控制器?

    【正點原子FPGA連載】第二十三章RGB-LCD字符和圖片顯示實驗 -摘自【正點原子】新起點之FPGA開發指南_V2.1

    【正點原子FPGA連載】第二十三章RGB-LCD字符和圖片顯示實驗 -摘自【正點原子】新起點之FPGA開發指南_V2.1
    發表于 11-24 14:36 ?13次下載
    【正點原子<b class='flag-5'>FPGA</b>連載】<b class='flag-5'>第二十三章</b>RGB-LCD字符和圖片顯示實驗 -摘自【正點原子】新起點之<b class='flag-5'>FPGA</b>開發指南_V2.1

    烽火通信光纖技術獲“第二十三屆中國專利獎”銀獎

    近日,烽火通信低衰減小彎曲光纖技術,榮獲“第二十三屆中國專利獎”銀獎。在我國信息網絡大容量傳輸“主血管”的基礎上,該項技術深入千家萬戶,構建了具有豐富毛細血管的立體信息高速公路網絡。
    的頭像 發表于 05-05 16:42 ?1098次閱讀

    ZYNQ Ultrascale+ MPSoC系列FPGA芯片設計

    基于 Xilinx 公司ZYNQ Ultrascale+ MPSoC系列 FPGA 芯片設計,應用于工廠自動化、機器視覺、工業質檢等工業領域
    發表于 11-02 14:35 ?1262次閱讀

    Zynq UltraScale+ MPSoC的隔離設計示例

    電子發燒友網站提供《Zynq UltraScale+ MPSoC的隔離設計示例.pdf》資料免費下載
    發表于 09-13 11:28 ?2次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>的隔離設計示例
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>