<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Zynq-7000 PS端IIC接口使用筆記

電子設計 ? 來源:VagueCheung的博客 ? 作者:VagueCheung的博客 ? 2022-07-25 17:56 ? 次閱讀

本文轉載自:VagueCheung的博客

ZYNQ7000系列FPGA的PS自帶兩個IIC接口,接口PIN IO可擴展為EMIO形式即將IO約束到PL端符合電平標準的IO(BANK12、BANK13、BANK34、BANK35);

o4YBAGAKISaAMFqHAAE3qBBBrho962.png

pIYBAGAKIWWAOSqOAADVuNhxxHc039.png

SDK中需要對IIC接口進行初始化在黑金和米聯的例程里為了方便用戶使用,對IIC和外設設備分別創建了相應的文件方便用戶開發。

o4YBAGAKIaSAd3LGAAEg2tkDkJ4916.png

特別注意每個外設設備都會有自己的slave address;而xilinx官方給出的IIC驅動中給出的從設備的地址是7bit模式(IIC總線上的器件一般為8位地址位,去掉低位一位廣播位,最多可掛載127個設備),在IIC進行寫操作時注意要將設備原有的salve address轉化成7bit模式;否則從設備將無法給予應答。

例如tw9912

o4YBAGAKIeKAFBfsAABueB_-dKY165.png

在SDK中IIC進行寫操作時。從設備地址slave address就應設置為0x44/0x45;

IIC總線數據傳輸速率(波特率)快速模式下可達到400kbit/s;

審核編輯 黃昊宇

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • IIC接口
    +關注

    關注

    0

    文章

    23

    瀏覽量

    11603
  • Zynq-7000
    +關注

    關注

    3

    文章

    144

    瀏覽量

    36644
收藏 人收藏

    評論

    相關推薦

    簡談Xilinx Zynq-7000嵌入式系統設計與實現

    在該全可編程SoC中,雙核ARM-Cortex-A9多核CPU是PS的心臟,它包含片上存儲器、外部存儲器接口和豐富功能的外設。與傳統的FPGA和SoC相比,ZYNQ7000不但提供了
    發表于 05-08 16:23

    Xilinx ZYNQ 動手實操演練

    邏輯部分基于賽靈思28nm7系列FPGA,因此該系列產品的名稱中添加了“7000”,以保持與7系列FPGA的一致性,同時也方便日后本系列新產品的命名。 除了芯片外,賽靈思Zynq-7000系列還構成
    發表于 05-03 19:28

    Zynq-7000為何不是FPGA?

    Zynq-7000可擴展處理平臺是采用賽靈思新一代FPGA(Artix-7與Kintex-7FPGA)所采用的同一28nm可編程技術的最新產品系列。
    發表于 04-26 11:30 ?183次閱讀
    <b class='flag-5'>Zynq-7000</b>為何不是FPGA?

    簡談Xilinx Zynq-7000嵌入式系統設計與實現

    進行描述 ,通過通過綜合后才能被使用。 3、ZYNQ-7000 SoC功能與結構 在該全可編程SoC中,雙核ARM-Cortex-A9多核CPU是PS的心臟,它包含片上存儲器、外部存儲器接口
    發表于 04-10 16:00

    請問FX3的UART口和Xilinx ZYNQ7000PS的UART進行硬件連接需要TTL電平轉換嗎?

    想讓FX3的UART口和Xilinx ZYNQ7000PS(Processor system)的內置UART相互通信,兩個芯片使用的是同一個電源(同在一塊板子上或分別在兩塊相互連接的板子上),請教一下它們之間的硬件連接需要T
    發表于 02-28 08:32

    使用PCIE更新AMD ZYNQ的QSPI Flash參考設計

    AMD ZYNQ 7000 的 S_AXI 端口提供了外設訪問 PS 內部外設控制器的接口,這其中包括 4 個 S_AXI_HP 端口以及兩個 S_AXI_GP 端口。一般來說,可以訪
    的頭像 發表于 11-17 10:02 ?596次閱讀
    使用PCIE更新AMD <b class='flag-5'>ZYNQ</b>的QSPI Flash參考設計

    Zynq UltraScale+ MPSoC中的隔離方法應用筆記

    電子發燒友網站提供《Zynq UltraScale+ MPSoC中的隔離方法應用筆記.pdf》資料免費下載
    發表于 09-15 14:49 ?0次下載
    <b class='flag-5'>Zynq</b> UltraScale+ MPSoC中的隔離方法應<b class='flag-5'>用筆記</b>

    基于PS和PL的1G/10G以太網解決方案應用筆記

    電子發燒友網站提供《基于PS和PL的1G/10G以太網解決方案應用筆記.pdf》資料免費下載
    發表于 09-15 10:29 ?1次下載
    基于<b class='flag-5'>PS</b>和PL的1G/10G以太網解決方案應<b class='flag-5'>用筆記</b>

    用于千兆位收發器應用的全數字VCXO替代品(7系列/Zynq-7000)

    電子發燒友網站提供《用于千兆位收發器應用的全數字VCXO替代品(7系列/Zynq-7000).pdf》資料免費下載
    發表于 09-14 11:43 ?0次下載
    用于千兆位收發器應用的全數字VCXO替代品(7系列/<b class='flag-5'>Zynq-7000</b>)

    Zynq-7000 SoC的安全啟動應用說明

    電子發燒友網站提供《Zynq-7000 SoC的安全啟動應用說明.pdf》資料免費下載
    發表于 09-13 11:46 ?1次下載
    <b class='flag-5'>Zynq-7000</b> SoC的安全啟動應用說明

    Zynq-7000 SoC:嵌入式設計教程

    電子發燒友網站提供《Zynq-7000 SoC:嵌入式設計教程.pdf》資料免費下載
    發表于 09-13 09:20 ?3次下載
    <b class='flag-5'>Zynq-7000</b> SoC:嵌入式設計教程

    xilinx ZYNQ7000系列基本開發流程之PS

    ZYNQ 芯片分為 PL 和 PS, PS 端的 IO 分配相對是固定的,不能任意分配,雖然 PS 端的 ARM 是硬核,但是在 ZYNQ
    的頭像 發表于 08-11 09:36 ?5948次閱讀
    xilinx <b class='flag-5'>ZYNQ7000</b>系列基本開發流程之<b class='flag-5'>PS</b>端

    Zynq系列FPGA的亮點

    中集成了內存控制器和大量的外設,使Cortex-A9的核在Zynq-7000中完全獨立于可編程邏輯單元,也就是說如果暫時沒有用到可編程邏輯單元部分(PL),ARM處理器的子系統也可以獨立工作,這與以前
    的頭像 發表于 08-06 10:20 ?869次閱讀
    <b class='flag-5'>Zynq</b>系列FPGA的亮點

    【資料分享】Xilinx Zynq-7010/7020工業核心板規格書(雙核ARM Cortex-A9 + FPGA,主頻766MHz)

    圖 7 Xilinx Zynq-7000 PS特性參數 圖 8 Xilinx Zynq-7000 PL特性參數 硬件參數
    發表于 06-25 09:56

    【資料分享】Zynq-7010/7020工業核心板規格書(雙核ARM Cortex-A9 + FPGA,主頻766MHz)

    1 核心板簡介創龍科技SOM-TLZ7x是一款基于Xilinx Zynq-7000系列XC7Z010/XC7Z020高性能低功耗處理器設計的異構多核SoC工業核心板,處理器集成PS雙核ARM
    發表于 06-21 15:19
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>