<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

【ZYNQ Ultrascale+ MPSOC FPGA教程】第四章PL的LED實驗

FPGA技術專欄 ? 來源:芯驛電子科技 ? 作者:芯驛電子科技 ? 2021-01-21 13:28 ? 次閱讀

原創聲明:

本原創教程由芯驛電子科技(上海)有限公司(ALINX)創作,版權歸本公司所有,如需轉載,需授權并注明出處。

適用于板卡型號:

AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E/AXU4EV-P/AXU5EV-E/AXU5EV-P /AXU9EG/AXU15EG

實驗Vivado工程為“led”。

對于ZYNQ來說PL(FPGA)開發是至關重要的,這也是ZYNQ比其他ARM的有優勢的地方,可以定制化很多ARM端的外設,在定制ARM端的外設之前先讓我們通過一個LED例程來熟悉PL(FPGA)的開發流程,熟悉Vivado軟件的基本操作,這個開發流程和不帶ARM的FPGA芯片完全一致。

在本例程中,我們要做的是LED燈控制實驗,每秒鐘控制開發板上的LED燈翻轉一次,實現亮、滅、亮、滅的控制。會控制LED燈,其它外設也慢慢就會了。

1. LED硬件介紹

1.1 開發板的PL部分連接了4個紅色的用戶LED燈。這1個燈完全由PL控制。如果PL_LED1為高電平,燈則會滅,否則會亮。

o4YBAGAJEOiAbaXgAACvM36P_7A213.jpg

1.2 我們可以根據原理圖的連線關系確定LED和PL管腳的綁定關系。

pIYBAGAJEOiANv_4AACp6QG2OL4909.jpg

1.3 原理圖中以PS_MIO開頭的IO都是PS端IO,不需要綁定,也不能用于PL端的引腳綁定

o4YBAGAJEOmAF9WkAADXQcvzluU836.jpg

2. 創建Vivado工程

2.1 啟動Vivado,在Windows中可以通過雙擊Vivado快捷方式啟動

2.2 在Vivado開發環境里點擊“Create New Project”,創建一個新的工程。

o4YBAGAJEOqAJETVAACCcM9vNHE224.jpg

2.3 彈出一個建立新工程的向導,點擊“Next”

pIYBAGAJEOuALjBFAABfI7iqKKw311.jpg

2.4 在彈出的對話框中輸入工程名和工程存放的目錄,我們這里取一個led的工程名。需要注意工程路徑“Project location”不能有中文空格,路徑名稱也不能太長。

o4YBAGAJEOuAAJkZAABJoezcM7s290.jpg

2.5 在工程類型中選擇“RTL Project”

pIYBAGAJEOuAHsBsAAB97r5tXP8649.jpg

2.6 目標語言“Target language”選擇“Verilog”,雖然選擇Verilog,但VHDL也可以使用,支持多語言混合編程。

o4YBAGAJEOyALMHAAABlcr3t908612.jpg

2.7 點擊“Next”,不添加任何文件

pIYBAGAJEOyAK46YAABGTpC24Jo486.jpg

2.8 在“Part”選項中,器件家族“Family”選擇“Zynq UltraScale+ MPSoCs”,封裝類型“Package”選擇“sfvc784”,Speed選擇”-1”,Temperature選擇“I”減少選擇范圍。在下拉列表中選擇“xczu2cg-sfvc784-1-i”,“-1”表示速率等級,數字越大,性能越好,速率高的芯片向下兼容速率低的芯片。

o4YBAGAJEO2AaVLpAACduRBj9sU169.jpg

2.9 點擊“Finish”就可以完成以后名為“led”工程的創建。

pIYBAGAJEO2ATo4EAACAASzkxhA461.jpg

2.10 Vivado軟件界面

o4YBAGAJEO6AdhjnAAC9Oods0s4729.jpg

3. 創建Verilog HDL文件點亮LED

3.1 點擊Project Manager下的Add Sources圖標(或者使用快捷鍵Alt+A)

pIYBAGAJEO6AZqsqAACI_BUL33s926.jpg

3.2 選擇添加或創建設計源文件“Add or create design sources”,點擊“Next”

o4YBAGAJEO-ADefQAACo27wyujs102.jpg

3.3 選擇創建文件“Create File”

pIYBAGAJEO-AMVaIAACg5oahAHY405.jpg

3.4 文件名“File name”設置為“led”,點擊“OK”

o4YBAGAJEO-ABOVtAACZClOPpWg209.jpg

3.5 點擊“Finish”,完成“led.v”文件添加

pIYBAGAJEPCAWxXPAACka-Fvw68994.jpg

3.6 在彈出的模塊定義“Define Module”,中可以指定“led.v”文件的模塊名稱“Module name”,這里默認不變為“led”,還可以指定一些端口,這里暫時不指定,點擊“OK”。

o4YBAGAJEPCAaj7ZAAB_fw97Sp8693.jpg

3.7 在彈出的對話框中選擇“Yes”

pIYBAGAJEPCAOEGxAACnStxWuQI245.jpg

3.8 雙擊“led.v”可以打開文件,然后編輯

o4YBAGAJEPGAYfb2AACStwyKkug774.jpg

3.9 編寫“led.v”,這里定義了一個32位的寄存器timer, 用于循環計數0~24999999(1秒鐘), 計數到24999999(1秒)的時候, 寄存器timer變為0,并翻轉四個LED。這樣原來LED是滅的話,就會點亮,如果原來LED為亮的話,就會熄滅。編寫好后的代碼如下:

moduleled(inputsys_clk,inputrst_n,outputreg[3:0]led);reg[31:0]timer_cnt;always@(posedgesys_clkornegedgerst_n)beginif(!rst_n)begin
led<=4'd0;
????????timer_cnt?<=32'd0;endelseif(timer_cnt?>=32'd24_999_999)begin
led<=~led;
????????timer_cnt?<=32'd0;endelsebegin
????????led?<=?led;
????????timer_cnt?<=?timer_cnt?+32'd1;endendendmodule

3.10 編寫好代碼后保存

4. 添加管腳約束

Vivado使用的約束文件格式為xdc文件。xdc文件里主要是完成管腳的約束,時鐘的約束, 以及組的約束。這里我們需要對led.v程序中的輸入輸出端口分配到FPGA的真實管腳上。

4.1 點擊“Open Elaborated Design”

pIYBAGAJEPGATgIKAAD_Ogw3HCk576.jpg

4.2 在彈出的窗口中點擊“OK”按鈕

o4YBAGAJEPKAH6auAABL73lfSfE577.jpg

4.3 在菜單中選擇“Window -> I/O Ports”

pIYBAGAJEPOAQCo7AACJ0CnWnXw192.jpg

4.4 在彈出的I/O Ports中可以看到管腳分配情況

o4YBAGAJEPOAdroJAACCo78xiFA663.jpg

4.5 將復位信號rst_n綁定到PL端的按鍵,給LED和時鐘分配管腳、電平標準,完成后點擊保存圖標

pIYBAGAJEPOAMmo2AABpwCw7MwE597.jpg

4.6 彈出窗口,要求保存約束文件,文件名我們填寫“led”,文件類型默認“XDC”,點擊“OK”

o4YBAGAJEPSAKR0KAAB9HSNrfdQ549.jpg

4.7 打開剛才生成的“led.xdc”文件,我們可以看到是一個TCL腳本,如果我們了解這些語法,完全可以通過自己編寫led.xdc文件的方式來約束管腳

pIYBAGAJEPSAJaUpAACBmhO6ElE208.jpg

下面來介紹一下最基本的XDC編寫的語法,普通IO口只需約束引腳號和電壓,管腳約束如下:

set_property PACKAGE_PIN "引腳編號" [get_ports “端口名稱”]

電平信號的約束如下:

set_property IOSTANDARD "電平標準" [get_ports “端口名稱”]

這里需要注意文字的大小寫,端口名稱是數組的話用{ }刮起來,端口名稱必須和源代碼中的名字一致,且端口名字不能和關鍵字一樣。

電平標準中“LVCMOS33”后面的數字指FPGA的BANK電壓,LED所在BANK電壓為3.3伏,所以電平標準為“LVCMOS33”。Vivado默認要求為所有IO分配正確的電平標準和管腳編號。

5. 添加時序約束

一個FPGA設計除了管腳分配以外,還有一個重要的約束,那就是時序約束,這里通過向導方式演示如果進行一個時序約束。

5.1 點擊“Run Synthesis”開始綜合

o4YBAGAJEPWAINz1AACn35fYyHc597.jpg

5.2 彈出對話框點擊“OK”

pIYBAGAJEPWAW32RAAA7Grmm4i4597.jpg

5.3 綜合完成以后點擊“Cancel”

o4YBAGAJEPaAeYMlAABNPbHXiog272.jpg

5.4 點擊“Constraints Wizard”

pIYBAGAJEPaAahP4AACsPOXzjJw869.jpg

5.5 在彈出的窗口中點擊“Next”

o4YBAGAJEPeAEXkVAADK6BsqSoU842.jpg

5.6 時序約束向導分析出設計中的時鐘,這里把“sys_clk_p”頻率設置為200Mhz,然后點擊“Skip to Finish”結束時序約束向導。

pIYBAGAJEPiAf_mAAACRpQQ_cCY348.jpg

5.7 彈出的窗口中點擊“OK”

o4YBAGAJEPmAczKLAABEGX8fW8A227.jpg

5.8 點擊“Finish”

pIYBAGAJEPmAet1jAAB0tgICfq4122.jpg

5.9 這個時候led.xdc文件已經更新,如果xdc文件已經打開,會提示“Reload”重新加載文件,并保存文件

o4YBAGAJEPmAKv2HAACO_01y_04133.jpg

6. 生成BIT文件

6.1 編譯的過程可以細分為綜合、布局布線、生成bit文件等,這里我們直接點擊“Generate Bitstream”,直接生成bit文件。

pIYBAGAJEPqAUZ53AAD2QSMiUkk534.jpg

6.2 在彈出的對話框中可以選擇任務數量,這里和CPU核心數有關,一般數字越大,編譯越快,點擊“OK”

o4YBAGAJEPqADd3LAABqfoOEbv4396.jpg

6.3 這個時候開始編譯,可以看到右上角有個狀態信息,在編譯過程中可能會被殺毒軟件、電腦管家攔截運行,導致無法編譯或很長時間沒有編譯成功。

pIYBAGAJEPuAQf2OAAAwpJzNW5g075.jpg

6.4 編譯中沒有任何錯誤,編譯完成,彈出一個對話框讓我們選擇后續操作,可以選擇“Open Hardware Manger”,當然,也可以選擇“Cancel”,我們這里選擇 “Cancel”,先不下載。

o4YBAGAJEPyAE4BzAABeTd9WFGc758.jpg

7. Vivado仿真

接下來我們不妨小試牛刀,利用Vivado自帶的仿真工具來輸出波形驗證流水燈程序設計結果和我們的預想是否一致(注意:在生成bit文件之前也可以仿真)。具體步驟如下:

7.1 設置Vivado的仿真配置,右擊SIMULATION中Simulation Settings。

pIYBAGAJEP2Af24dAABy50CxR5Y252.jpg

7.2 在Simulation Settings窗口中進行如下圖來配置,這里設置成50ms(根據需要自行設定),其它按默認設置,單擊OK完成。

o4YBAGAJEP2AI1EpAACfXyKbZeQ828.jpg

7.3 添加激勵測試文件,點擊Project Manager下的Add Sources圖標,按下圖設置后單擊Next。

pIYBAGAJEP2AYuVwAAB_6GZW2Is667.jpg

7.4 點擊Create File生成仿真激勵文件。

o4YBAGAJEP6ARXenAACY4UMo8Bo952.jpg

在彈出的對話框中輸入激勵文件的名字,這里我們輸入名為vtf_led_test。

pIYBAGAJEP6AO_3PAAAvErtmMNU730.jpg

7.5 點擊Finish按鈕返回。

o4YBAGAJEP-AcFhEAABms1IR7_o459.jpg

這里我們先不添加IO Ports,點擊OK。

pIYBAGAJEP-AFU9WAABX0OUMU1U282.jpg

在Simulation Sources目錄下多了一個剛才添加的vtf_led_test文件。雙擊打開這個文件,可以看到里面只有module名的定義,其它都沒有。

o4YBAGAJEQCAFlrEAACkvgulSCk437.jpg

7.6 接下去我們需要編寫這個vtf_led_test.v文件的內容。首先定義輸入和輸出信號,然后需要實例化led_test模塊,讓led_test程序作為本測試程序的一部分。再添加復位和時鐘的激勵。完成后的vtf_led_test.v文件如下:

`timescale1ns/1ps////////////////////////////////////////////////////////////////////////////////////ModuleName:vtf_led_test//////////////////////////////////////////////////////////////////////////////////modulevtf_led_test;//Inputsregsys_clk;regrst_n;//Outputswire[3:0]led;//InstantiatetheUnitUnderTest(UUT)leduut(.sys_clk(sys_clk),.rst_n(rst_n),.led(led));initialbegin//InitializeInputssys_clk=0;
rst_n=0;#1000;
rst_n=1;end//Createclockalways#20sys_clk=~sys_clk;endmodule

7.7 編寫好后保存,vtf_led_test.v自動成了這個仿真Hierarchy的頂層了,它下面是設計文件led_test.v。

pIYBAGAJEQCAR8ISAABDyhjGKzk180.jpg

7.8 點擊Run Simulation按鈕,再選擇Run Behavioral Simulation。這里我們做一下行為級的仿真就可以了。

o4YBAGAJEQGACzlbAADfDtCLhaw782.jpg

如果沒有錯誤,Vivado中的仿真軟件開始工作了。

7.9 在彈出仿真界面后如下圖,界面是仿真軟件自動運行到仿真設置的50ms的波形。

pIYBAGAJEQKAN4bqAABxgza036g035.jpg

由于LED[3:0]在程序中設計的狀態變化時間長,而仿真又比較耗時,在這里觀測timer[31:0]計數器變化。把它放到Wave中觀察(點擊Scope界面下的uut,再右鍵選擇Objects界面下的timer,在彈出的下拉菜單里選擇Add Wave Window)。

o4YBAGAJEQOAK48jAABdDiLDfp0827.jpg

添加后timer顯示在Wave的波形界面上,如下圖所示。

pIYBAGAJEQOARVFRAABuPCRSdJs446.jpg

7.10 點擊如下標注的Restart按鈕復位一下,再點擊Run All按鈕。(需要耐心?。。。?,可以看到仿真波形與設計相符。(注意:仿真的時間越長,仿真的波形文件占用的磁盤空間越大,波形文件在工程目錄的xx.sim文件夾)

o4YBAGAJEQOAC9KwAACGSLQuPtM533.jpgpIYBAGAJEQSAITsHAABzdXO5Wow761.jpg

我們可以看到led的信號會變成f,說明LED燈會由亮變滅。

8. 下載

8.1 連接好開發板的JTAG接口,給開發板上電

注意拔碼開關要選擇JTAG模式,也就是全部拔到”ON”,“ON”代表的值是0,不用JTAG模式,下載會報錯。

8.2 在“HARDWARE MANAGER”界面點擊“Auto Connect”,自動連接設備

o4YBAGAJEQWAZ20BAAB5pEy5nk4797.jpg

8.3 可以看到JTAG掃描到arm和FPGA內核

pIYBAGAJEQaARM7FAAB8YJlIJnk334.jpg

8.4 選擇芯片,右鍵“Program Device...”

o4YBAGAJEQeAEMFyAABiUiflNEw691.jpg

8.5 在彈出窗口中點擊“Program”

pIYBAGAJEQeAZnqPAABOBpqHw7o621.jpg

8.6 等待下載

o4YBAGAJEQiAKbNuAAAv9OkGVPA178.jpg

8.7 下載完成以后,我們可以看到PL LED開始每秒變化一次。到此為止Vivado簡單流程體驗完成。后面的章節會介紹如果把程序燒錄到Flash,需要PS系統的配合才能完成,只有PL的工程不能直接燒寫Flash。在”體驗ARM,裸機輸出”Hello World”一章的常見問題中有介紹。

9. 在線調試

前面介紹了仿真和下載,但仿真并不需要程序燒寫到板子,是比較理想化的結果,下面介紹Vivado在線調試方法,觀察內部信號的變化。Vivado有內嵌的邏輯分析儀,叫做ILA,可以用于在線觀察內部信號的變化,對于調試有很大幫助。在本實驗中我們觀察timer_cnt和led的信號變化。

9.1 添加ILA IP核

9.1.1 點擊IP Catalog,在搜索框中搜索ila,雙擊ILA的IP

pIYBAGAJEQiALR3dAACQN7_aB9M037.jpg

9.1.2 修改名稱為ila,由于要采樣兩個信號,Probes的數量設置為2,Sample Data Depth指的是采樣深度,設置的越高,采集的信號越多,同樣消耗的資源也會越多。

o4YBAGAJEQmAIe8ZAACXTr9J9E8909.jpg

9.1.3 在Probe_Ports頁面,設置Probe的寬度,設置PROBE0位寬為32,用于采樣timer_cnt,設置PROBE1位寬為4,用于采樣led。點擊OK

pIYBAGAJEQmAN3X_AAB_zAIUY2I018.jpg

彈出界面,選擇OK

o4YBAGAJEQqAMyrZAAAj2NFBKwM175.jpg

再如下設置,點擊Generate

pIYBAGAJEQqARJvlAABZxDYYujw777.jpg

9.1.4 在led.v中例化ila,并保存

o4YBAGAJEQuAHVy_AABIcVmOaoE106.jpg

9.1.5 重新生成Bitstream

pIYBAGAJEQuAYYb_AAAXEVqZETY882.jpg

9.1.6 下載程序

o4YBAGAJEQeAEMFyAABiUiflNEw691.jpg

9.1.7 這時候看到有bit和ltx文件,點擊program

o4YBAGAJEQyAHR9TAABruB0ChGQ837.jpg

9.1.8 此時彈出在線調試窗口,出現了我們添加的信號

pIYBAGAJEQyADQVAAACakm_9fI8707.jpg

點擊運行按鈕,出現信號的數據

o4YBAGAJEQ2AU1fbAABdIyU-5Rc625.jpg

也可以觸發采集,在Trigger Setup窗口點擊“+”,深度選擇timer_cnt信號

pIYBAGAJEQ2AA8PLAAAvyyEmHGU151.jpg

將Radix改為U,也就是十進制,在Value中設置為24999999,也就是timer_cnt計數的最大值

o4YBAGAJEQ6AFkxXAABL4I_EesM335.jpg

再次點擊運行,即可以看到觸發成功,此時timer_cnt顯示為十六進制,而led也在此時翻轉。

pIYBAGAJEQ6AO_7UAABYB3CMQHI980.jpg

9.2 MARK DEBUG

上面介紹了添加ILA IP的方式在線調試,下面介紹在代碼中添加綜合屬性,實現在線調試。

9.2.1 首先打開led.v,將ila的例化部分注釋掉

9.2.2 在led和timer_cnt的定義前面添加(* MARK_DEBUG=”true” *),保存文件。

o4YBAGAJEQ-AE48yAAAtUAa1keg366.jpg

9.2.3 點擊綜合

pIYBAGAJEQ-ARhP2AAAPkpwz-UI522.jpg

9.2.4 綜合結束后,點擊Set Up Debug

o4YBAGAJEQ-AaDBzAAArxEJaNHk224.jpg

9.2.5 彈出的窗口點擊Next

pIYBAGAJERCAZ82vAACSdMECA_E418.jpg

按照默認點擊Next

o4YBAGAJERGAIx-CAAB6CnKgQWs132.jpg

采樣深度窗口,選擇Next

pIYBAGAJERGAQU7jAABH_srkVt8667.jpg

點擊Finish

o4YBAGAJERGAFqVpAABTsPKSzvE754.jpg

點擊保存

pIYBAGAJERKATYG3AAAYiW_CLWM772.jpg

在xdc文件中即可看到添加的ila核約束

o4YBAGAJERKAYRHRAACT2Y49Hn4919.jpg

9.2.6 重新生成bitstream

9.2.7 調試方法與前面一樣,不再贅述。

10. 實驗總結

本章節介紹了如何在PL端開發程序,包括工程建立,約束,仿真,在線調試等方法,在后續的代碼開發方式中皆可參考此方法。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1610

    文章

    21374

    瀏覽量

    595033
  • led
    led
    +關注

    關注

    237

    文章

    22574

    瀏覽量

    647091
  • ARM
    ARM
    +關注

    關注

    134

    文章

    8725

    瀏覽量

    363101
  • Zynq
    +關注

    關注

    9

    文章

    600

    瀏覽量

    46814
  • MPSoC
    +關注

    關注

    0

    文章

    194

    瀏覽量

    24152
收藏 人收藏

    評論

    相關推薦

    如何利用ZYNQ MPSoC玩DOOM?

    賽靈思和 DornerWorks 的系統軟件團隊在賽靈思的 Zynq? Ultrascale+? MPSoC 上啟動 Xen Project 管理程序時,我們發現可通過運行當年叱詫一時的流行電子游戲
    發表于 10-09 06:21

    如何調試Zynq UltraScale+ MPSoC VCU DDR控制器

      如何調試Zynq UltraScale+ MPSoC VCU DDR控制器  Zynq UltraScale+
    發表于 01-07 16:02

    如何調試Zynq UltraScale+ MPSoC VCU DDR控制器

    如何調試 Zynq UltraScale+ MPSoC VCU DDR 控制器?
    發表于 01-22 06:29

    ZYNQ Ultrascale+ MPSOC FPGA教程

    ZYNQ Ultrascale+ MPSOC FPGA教程
    發表于 02-02 07:53

    閑話Zynq UltraScale+ MPSoC(連載5)

    作者:Hello,Panda Part3 I/O資源 和Zynq-7000相比較,Zynq UltraScale+ 增強了PS端的IO性能;PL端每個產品系都有HR和HP兩種類型的IO
    發表于 02-08 08:29 ?543次閱讀
    閑話<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>(連載5)

    Zynq UltraScale+ MPSoC的發售消息

    Zynq?UltraScale+?MPSoC,現已開始發售。視頻向您重點介紹了Xilinx UltraScale +產品組合的第一位成員
    的頭像 發表于 11-27 06:47 ?3356次閱讀

    米爾科技Zynq UltraScale+ MPSoC技術參考手冊介紹

    Zynq UltraScale+ MPSoC是Xilinx推出的第二代多處理SoC系統,在第一代Zynq-7000的基礎上做了全面升級,在單芯片上融合了功能強大的處理器系統(PS)和用
    的頭像 發表于 11-18 11:03 ?2726次閱讀
    米爾科技<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>技術參考手冊介紹

    ZYNQ Ultrascale+ MPSOC FPGA教程】第四章 PLLED實驗

    在本例程中,我們要做的是LED燈控制實驗,每秒鐘控制開發板上的LED燈翻轉一次,實現亮、滅、亮、滅的控制。會控制LED燈,其它外設也慢慢就會了。
    發表于 01-22 10:08 ?2次下載
    【<b class='flag-5'>ZYNQ</b> <b class='flag-5'>Ultrascale+</b> <b class='flag-5'>MPSOC</b> <b class='flag-5'>FPGA</b>教程】<b class='flag-5'>第四章</b> <b class='flag-5'>PL</b>的<b class='flag-5'>LED</b><b class='flag-5'>實驗</b>

    如何調試 Zynq UltraScale+ MPSoC VCU DDR 控制器?

    Zynq UltraScale+ MPSoC VCU DDR 控制器是一款專用 DDR 控制器,只支持在 Zynq UltraScale+
    發表于 02-23 06:00 ?15次下載
    如何調試 <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b> VCU DDR 控制器?

    米爾電子zynq ultrascale+ mpsoc底板外設資源清單分享

    米爾電子推出的國內首款zynq ultrascale+ mpsoc平臺核心板(及開發板):MYC-CZU3EG吸引了人工智能、工業控制、嵌入式視覺、ADAS、算法加速、云計算、有線/無線通信
    發表于 01-07 15:20 ?3次下載
    米爾電子<b class='flag-5'>zynq</b> <b class='flag-5'>ultrascale+</b> <b class='flag-5'>mpsoc</b>底板外設資源清單分享

    ZYNQ Ultrascale+ MPSoC系列FPGA芯片設計

    基于 Xilinx 公司ZYNQ Ultrascale+ MPSoC系列 FPGA 芯片設計,應用于工廠自動化、機器視覺、工業質檢等工業領域
    發表于 11-02 14:35 ?1277次閱讀

    Zynq UltraScale+ MPSoC中的隔離方法

    電子發燒友網站提供《Zynq UltraScale+ MPSoC中的隔離方法.pdf》資料免費下載
    發表于 09-13 17:11 ?1次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>中的隔離方法

    Zynq UltraScale+ MPSoC的隔離設計示例

    電子發燒友網站提供《Zynq UltraScale+ MPSoC的隔離設計示例.pdf》資料免費下載
    發表于 09-13 11:28 ?2次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>的隔離設計示例

    Zynq UltraScale+ MPSoC生產勘誤表

    電子發燒友網站提供《Zynq UltraScale+ MPSoC生產勘誤表.pdf》資料免費下載
    發表于 09-15 10:35 ?0次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>生產勘誤表

    Zynq UltraScale+ MPSoC驗證數據手冊

    電子發燒友網站提供《Zynq UltraScale+ MPSoC驗證數據手冊.pdf》資料免費下載
    發表于 09-15 10:13 ?0次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>驗證數據手冊
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>