<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

基于FPGA的LVDS屏幕接口應用

電子工程師 ? 來源:CSDN技術社區 ? 作者:CSDN技術社區 ? 2020-11-01 10:03 ? 次閱讀

什么是LVDS,LVDS的全稱是Low-Voltage Differential Signaling ,即低電壓差分信號。LVDS可以實現點對點或一點對多點的連接,具有低功耗、低誤碼率、低串擾和低輻射等特點。

1、LVDS的特點和分類

LVDS為克服以TTL電平方式傳輸的高碼率數據時功耗大、EMI電磁干擾大等缺點而研制的一種數字視頻信號傳輸方式。

LVDS是利用低電壓差(典型值為350 mV)進行編碼信息的。

LVDS信號的分為單路6位LVDS,雙路6位LVDS,單路8位LVDS和雙路8位LVDS,特點對比如下表:

我們常用的是HDMI高清接口,那么LVDS與HDMI又有哪些區別呢。

它們都是高清輸出接口,LVDS由于采用低壓和低電流驅動方式,因此,實現了低噪聲和低功耗。

HDMI的TMDS是3.3V,而DDC電路的電平則是5V。傳統的TTL驅動電平帶來的噪聲和功耗相對較大,要是對產品的EMI和EMC要求比較嚴格,則應該優選LVDS接口。

LVDS的應用

這里來看一個LVDS應用的例子:

整個應用分為LVDS輸出驅動板和LVDS接收液晶面板,一般主控芯片內部沒有集成的LVDS發射模塊,只提供6或8 bit RGB接口,傳輸的是TTL電平,這時候需要加一塊TTL轉LVDS的轉換芯片,然后將LVDS信號傳輸給液晶面板。液晶面板的LVDS接收器再對信號做轉換處理并顯示。

另外需要給液晶面板提供液晶的背光,背光電路一般放在驅動板,主控通過PWM信號對面板背光進行調節。若液晶面板帶觸摸功能,還需要設計觸摸控制電路,觸摸控制器與主控通過I2C通信,再去驅動液晶面板。

那么對于產品設計,LVDS接口有單6,雙6,單8,雙8之分,我們怎么選LVDS接口呢?經過咨詢相關屏幕廠家,得到以下回復。

也就是說,選接口是由屏幕分辨率決定的,你選的屏幕分辨率高了,就得用高位數的,畢竟高分辨率要求基色信號的位數越多,才能在屏幕上顯示更多色彩。

上面是不集成LVDS的主控的應用方案,如全志的H6,顯示接口只有6bit 的RGB接口和HDMI接口,無LVDS接口。但像性能更強大一點的全志T3和瑞芯微RK32x8平臺等,就內部集成專門的LVDS接口,不用再橋接一片轉換IC。

在實際應用中,通常我們可以調節LVDS轉換芯片的RS管腳配置去控制信號的擺幅,低擺幅LVDS輸出可以進一步降低系統的EMI,并減小芯片功耗。

關于LVDS Layout

下面介紹一下關于LVDS的PCB 板布線時需要注意的點:

1) 由于差分線較多并為了方便做阻抗匹配,PCB 至少采用四層板設計;

2) 電源濾波電容盡可能的靠近芯片電源 pin;

3) 每組電源都需要通過磁珠進行隔離,且都要增加電源濾波電容;

4) PCB 板盡可能鋪設大面積的 GND ;

5) LVDS 輸出差分信號走線設計成 100Ω差分匹配,走線盡可能保持等長;

6) LVDS 輸出差分信號正負通道間隔 S1 盡可能的??;

7) LVDS 各輸出差分信號通道間的間隔至少要大于 2 倍 S1;

8) 100Ω終端電阻要盡可能的靠近 LVDS 接收器輸入端口;

9) LVDS 輸出差分信號通路盡可能的少用通孔,且走線避免設計成 90°彎角。

責任編輯人:CC

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1602

    文章

    21320

    瀏覽量

    593194
  • 接口
    +關注

    關注

    33

    文章

    7639

    瀏覽量

    148486
  • lvds
    +關注

    關注

    2

    文章

    848

    瀏覽量

    64604

原文標題:FPGA | LVDS屏幕接口的應用

文章出處:【微信號:HXSLH1010101010,微信公眾號:FPGA技術江湖】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    基于FPGA的高速LVDS數據傳輸

    高速LVDS數據傳輸方案和協議基于FPGA的高速LVDS數據傳輸本人在北京工作6年,從事FPGA外圍接口設計,非常熟悉高速
    發表于 03-01 18:47

    關于FPGAlvds問題

    請問能夠用FPGAlvds做成一個vga的接口嗎?不是用硬件做轉接板,純粹從邏輯上面去設計,該怎么樣去畫這個邏輯狀態圖
    發表于 09-19 21:02

    簡易USB與LVDS接口轉換器

    ,基于FPGA的協議數據轉換以及LVDS接口等部分組成,其系統設計框圖如圖1所示。該系統設計的USB接口使用高速USB物理層收發器USB3300將USB協議的差分信號轉換成8位的并行信
    發表于 11-22 11:24

    屏幕接口

    屏幕接口FSMC、8080、RGB、MIPI、PPI、IIC、SPI、串口屏、LVDS接口、EDP接口、HDMI、MPU
    發表于 11-11 18:54

    為什么LVDS屏幕上沒有顯示了呢

    昨天拔了一次LVDS的線,測試了一個I2C的讀寫的程序,昨天沒留意屏幕的顯示情況,今天發現屏幕上沒有顯示了,而且把LVDS線拔掉后,不像之前不插LV
    發表于 01-11 06:54

    LVDS屏幕如何驅動呢

    為什么要驅動LVDS屏幕呢?LVDS屏幕如何驅動呢?
    發表于 03-03 09:49

    FPGA | LVDS屏幕接口的應用

    今天給大俠帶來基于FPGALVDS屏幕接口應用,話不多說,上貨。 什么是LVDS,LVDS
    發表于 06-05 17:31

    AD9684與FPGALVDS模式接口互聯時,FPGA端如何使用?

    咨詢一個初級A/D問題:AD9684中DCO時鐘的用法(FPGA控制)。AD9684與FPGALVDS模式接口互聯時,FPGA端如何使用?
    發表于 12-13 09:01

    LVDS接口電路及設計

    LVDS接口電路及設計 LVDS接口又稱RS-644總線接口,是20世紀90年代才出現的一種數據傳輸和
    發表于 06-16 11:19 ?5147次閱讀
    <b class='flag-5'>LVDS</b><b class='flag-5'>接口</b>電路及設計

    基于FPGALVDS接口應用

    介紹了LVDS技術的原理,對LVDS接口在高速數據傳輸系統中的應用做了簡要的分析,著重介紹了基于FPGALVDS_TX模塊的應用,并通過其
    發表于 01-11 10:46 ?99次下載
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>LVDS</b><b class='flag-5'>接口</b>應用

    LVDS顯示屏接口資料

    LVDS顯示屏接口LVDS顯示屏接口LVDS顯示屏接口LVD
    發表于 01-15 16:31 ?0次下載

    LVDS高速ADC接口_Xilinx FPGA實現

    LVDS 即Low-Voltage Differential Signaling。FPGA的selecteIO非常強大,支持各種IO接口標準,電壓電流都可以配置。其接口速率可以達到幾百
    的頭像 發表于 06-30 10:23 ?2.2w次閱讀
    <b class='flag-5'>LVDS</b>高速ADC<b class='flag-5'>接口</b>_Xilinx <b class='flag-5'>FPGA</b>實現

    具有LVDS輸出的LTM9011 ADC的AN147-Altera Stratix IV FPGA接口

    具有LVDS輸出的LTM9011 ADC的AN147-Altera Stratix IV FPGA接口
    發表于 05-09 21:19 ?14次下載
    具有<b class='flag-5'>LVDS</b>輸出的LTM9011 ADC的AN147-Altera Stratix IV <b class='flag-5'>FPGA</b><b class='flag-5'>接口</b>

    wp02-將凌力爾特公司的DDR LVDS ADC與Altera Stratix IV FPGA接口

    wp02-將凌力爾特公司的DDR LVDS ADC與Altera Stratix IV FPGA接口
    發表于 05-23 11:13 ?1次下載
    wp02-將凌力爾特公司的DDR <b class='flag-5'>LVDS</b> ADC與Altera Stratix IV <b class='flag-5'>FPGA</b><b class='flag-5'>接口</b>

    LVDS接口有哪些分類

    LVDS(Low Voltage Differential Signaling)接口是一種低電壓差分信號傳輸LVDS(Low Voltage Differential Signaling)接口
    的頭像 發表于 01-18 11:20 ?531次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>