<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

FPGA實現基于Vivado的BRAM IP核的使用

電子設計 ? 來源:CSDN博主 ? 作者:XXQ121 ? 2020-12-29 15:59 ? 次閱讀

Xilinx公司FPGA中有著很多的有用且對整個工程很有益處的IP核,比如數學類的IP核,數字信號處理使用的IP核,以及存儲類的IP核,本篇文章主要介紹BRAM IP核的使用。

BRAM是FPGA定制的RAM資源,有著較大的存儲空間,且在日常的工程中使用較為頻繁。BRAM以陣列的方式排布于FPGA的內部,是FPGA實現各種存儲功能的主要部分,是真正的雙讀/寫端口的同步的RAM。

本片文章是基于Vivado的 2017.1的版本,其他版本都大同小異。

首先在Vivado界面的右側選擇IP Catalog 選項。

然后就可以在IP 目錄中,選擇想要的IP核,此處在搜索框輸入BRAM,選擇我們要使用的BRAM IP核。

o4YBAF9uJquAbH4EAABxhnEhJiU920.png

即最下方的Block Memory Generator,顧名思義,塊狀RAM。

我們常用的是單口BRAM,單口寫,單口讀??膳渲肕emory Type 為Simple Dual Port RAM。如下圖所示:

pIYBAF9uJqyAE19KAACwuQaXH2A606.png

其中Component Name 可以自定義自己所需要的模塊名稱。類型定義完成后,可以看到左側顯示的模塊端口,端口的使用后面再細說。

設置完成后,開始設置端口的位寬以及深度,選擇Port A Options選項,可以看到下面的界面。

pIYBAF9uJq6AC4zFAACI-tZzmR0122.png

Port A Width即位寬,也就是你存儲數據的有多少位,Port A Depth即深度,也就是你要存多少個數據。端口A設置好后,還需要點擊Port B Options,點擊完進入Port B Options選項后,系統會幫你自動設置好端口B的寬度和深度,因為B肯定是和A一樣的。

o4YBAF9uJq-AfEooAABNWARNqns092.png

可以看到端口B也設置完成,同時在Port B Optional Output Registers有一個Primitives OutputRegisters選項。如果這個選項不勾上,那么正常的情況下,當第一個時鐘時候,送來地址,那么數據會在第二個時鐘取好送出RAM。但是當勾上這個選項后,那么數據就會延遲兩個時鐘,在第三個時鐘送出。這是取數據情況下的時序。當存數據時候,那么只需要地址和數據在同一個時鐘下即可。

當我們在一般使用RAM時候,如果需要事先存到RAM里的數據量不大,我們可以在Testbench里面寫一下即可。但是如果數據量較大時,那么我們可以使用.coe文件事先將數據導入到RAM 之中,如下圖所示。

o4YBAF9uJrCAG-mOAABFG_zddM4064.png

在Other Options選項中,有一個Load Init File選項,即加載初始化文件,在這里勾上后,即可加載已存儲好數據的.coe文件。關于.coe文件的制作,網上有很多教程,在此不再贅述。然后點擊下方OK,然后再點擊彈出來的窗口的Generate即可生成BRAM 的IP核。

至此,常用情況下的BRAM的配置選項以及設置介紹完成。
編輯:hfy

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1606

    文章

    21344

    瀏覽量

    594182
  • Xilinx
    +關注

    關注

    70

    文章

    2127

    瀏覽量

    119550
收藏 人收藏

    評論

    相關推薦

    FPGA設計中BRAM的知識科普

    FPGA設計中,BRAM是一項非常關鍵的內置存儲資源,FPGA開發需要熟練使用BRAM,今天再復習一下BRAM的知識,包括
    發表于 08-15 15:44 ?2578次閱讀
    <b class='flag-5'>FPGA</b>設計中<b class='flag-5'>BRAM</b>的知識科普

    基于FPGAIP8051上實現TCPIP的設計

    基于FPGAIP8051上實現TCPIP的設計
    發表于 08-06 12:18

    vivadoip的工程封裝

    請教一下,vivado怎么把帶ip的工程進行封裝,保證代碼不可見,可以通過端口調用。我嘗試了以下方法,ippackage,如果要在另一個程序里調用,也要提供源代碼;另一個方法是將網表文件edf文件與端口聲明結合,這種方法只能
    發表于 07-14 09:18

    xilinx vivado 怎么封裝包含一個ip的自定義ip?

    邏輯,例化了一個bRAMip ;2.封裝ip:選擇固定文件路徑進行封裝3.在封裝ip界面調了一個相同的
    發表于 12-11 10:25

    基于FPGA的FFT和IFFT IP應用實例

    飛舞,這里就不贅述了,以免有湊字數的嫌疑。下面我們就Matlab和FPGA兩個工具雙管齊下,比對Vivado的FFT IP生成的數據。2 Matlab產生測試數據,繪制cos時域和頻
    發表于 08-10 14:30

    玩轉Zynq連載21——VivadoIP的移植

    `玩轉Zynq連載21——VivadoIP的移植更多資料共享騰訊微云鏈接:https://share.weiyun.com/5s6bA0s百度網盤鏈接:https://pan.baidu.com
    發表于 09-04 10:06

    怎么在Vivado HLS中生成IP?

    是對的,請糾正我,但我認為這一步只需按一個按鈕)3 - 將IP內核導入Vivado并: a-生成塊設計(這是我最不舒服的步驟,我會很高興獲得一些好的建議,因為算法很復雜且IP不是基本
    發表于 03-24 08:37

    Vivado IP鎖定的解決辦法分享

      發生IP鎖定,一般是Vivado版本不同導致的,下面介紹幾種方法:    1 常用的方法  1)生成IP的狀態報告 Report -
    發表于 01-08 17:12

    Vivado中xilinx_courdic IP怎么使用

    Vivado中xilinx_courdic IP(求exp指數函數)使用
    發表于 03-03 07:35

    BRAM IP包括哪幾種類型?怎么使用?

    BRAM IP包括哪幾種類型?Vivado中xilinx_BRAM IP
    發表于 03-08 07:11

    Vivado生成IP

    vivado生成ip后缺少一大片文件,之前是可以用的,中途卸載過Modelsim,用vivado打開過ISE工程,因為工程中很多IP
    發表于 04-24 23:42

    VIVADO——IP封裝技術封裝一個普通的VGA IP-FPGA

    有關FPGA——VIVADO15.4開發中IP 的建立
    發表于 02-28 21:04 ?15次下載

    Vivado中xilinx_BRAM IP核使用

    Vivado2017.2 中BRAM版本為 Block Memory Generator Specific Features 8.3。BRAM IP核包括有5種類型:Single-p
    發表于 03-10 06:15 ?19次下載
    <b class='flag-5'>Vivado</b>中xilinx_<b class='flag-5'>BRAM</b> <b class='flag-5'>IP</b>核使用

    VivadoBRAM IP的配置方式和使用技巧

    FPGA開發中使用頻率非常高的兩個IP就是FIFO和BRAM,上一篇文章中已經詳細介紹了Vivado FIFO IP,今天我們來聊一聊
    的頭像 發表于 08-29 16:41 ?3270次閱讀
    <b class='flag-5'>Vivado</b>中<b class='flag-5'>BRAM</b> <b class='flag-5'>IP</b>的配置方式和使用技巧

    FPGA實現基于VivadoBRAM IP核的使用

    Xilinx公司的FPGA中有著很多的有用且對整個工程很有益處的IP核,比如數學類的IP核,數字信號處理使用的IP核,以及存儲類的IP核,本
    的頭像 發表于 12-05 15:05 ?659次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>