<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

數字倍頻電路原理圖

454398 ? 來源:博客園 ? 作者: poiu_elab ? 2020-11-09 14:53 ? 次閱讀

一個數字倍頻電路

這個就是原理圖,奉上代碼

 1 /////////////////////////////////////////////////////////////////////////////
 2 //  DATE    :   Wed Jun  6 22:58:17 CST 2012
 3 /////////////////////////////////////////////////////////////////////////////
 4 module clk_mul(
 5     input   wire            clk
 6 ,   input   wire            rst_n
 7 ,   output  wire            clk_out
 8 );
 9 //////////////////////////////////////////////////////////////////////////////
10 // variable declaration
11 reg     temp_mul    ;
12 //////////////////////////////////////////////////////////////////////////////
13 // logic
14 always @(posedge clk_out or negedge rst_n) begin
15     if(~rst_n)                      temp_mul    <=  1'b0            ;
16     else                            temp_mul    <=  #2 ~temp_mul    ;
17 end
18 assign  clk_out =   ~(clk ^ ~temp_mul)  ;
19 //////////////////////////////////////////////////////////////////////////////
20 
21 endmodule   //          CREATED by poiu_elab@1207
22 
23 //////////////////////////////////////////////////////////////////////////////

這個東西很簡單的,但是要注意,它的核心是第16行的#2,這里的延時(占空比)可以通過電路的Tco和經過反相器的時間來搞定(其中可以插入一些buffer來調節時間),testbench這么來寫(很簡單,但是便于下面解釋延時對占空比的影響還是附上)。

 1 ///////////////////////////////////////////////////////////////////////////////
 2 //  DATE    :   Wed Jun  6 23:00:31 CST 2012
 3 ///////////////////////////////////////////////////////////////////////////////
 4 `define CLK_CYCLE   20
 5 module tb();
 6 ///////////////////////////////////////////////////////////////////////////////
 7 // variable declaration
 8 reg             clk         ;
 9 reg             rst_n       ;
10 wire            clk_out     ;
11 ///////////////////////////////////////////////////////////////////////////////
12 // stimulation generation
13 initial forever #(`CLK_CYCLE/2) clk = ~clk;
14 initial begin
15     rst_n           =   1'b0            ;
16     clk             =   1'b1            ;
17 #500;
18     rst_n           =   1'b1            ;
19 #5000;
20 $stop;
21 end
22 ///////////////////////////////////////////////////////////////////////////////
23 // module instaniation
24 clk_mul u_clk_mul(
25     .clk        (   clk     )
26 ,   .rst_n      (   rst_n   )
27 ,   .clk_out    (   clk_out )
28 );
29 ///////////////////////////////////////////////////////////////////////////////
30 
31 endmodule   //          CREATED by poiu_elab@1207
32 
33 ///////////////////////////////////////////////////////////////////////////////

下面給出仿真圖,當#2的時候,是這樣的,其中你要關心的其實是~temp_mul & clk, 當你要是q端經過反相器的信號與接入的clk信號相同的時候你的clk_out就會起來,之后你的q端翻轉了的話,你的clk_out就會落下來,這時候在下一個clk翻轉的時候,你的~temp_mul & clk就會又要把clk_out拉起來,q端又翻轉,以此類推,就可以繼續在每個clk的跳變沿出現你的clk_out的高電平,調整你的Tco和反相器延時就可以調整你的高電平時間,由于周期又是固定的,這樣就可以調整你的占空比。

而當#5的時候,是這樣的

看出什么端倪了沒,當你的延時,正好是時鐘周期的1/4的時候,你就可以得到一個占空比是50%的2倍頻時鐘。

編輯:hfy

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 反相器
    +關注

    關注

    6

    文章

    295

    瀏覽量

    42821
  • 倍頻電路
    +關注

    關注

    4

    文章

    12

    瀏覽量

    32329
收藏 人收藏

    評論

    相關推薦

    遙控數字鐘PCB電路圖與SCH原理圖

    遙控數字鐘PCB電路圖與SCH原理圖  SCH (Synchronization Channel)   在FCCH解碼后,MS接著要解出SCH信道消息,解碼所得的信息給出了MS需要同步的所有消息及該
    發表于 12-07 11:10

    數字式溫度測量電路設計原理圖

    本人要畢業設計,求哪位好心給我發一份數字式溫度測量電路設計原理圖,如果可以最好是附帶程序!越詳細越好··不勝感激!
    發表于 04-28 23:49

    電路圖數字無線接收電路原理圖

    電路圖數字無線接收電路原理圖
    發表于 07-31 22:51

    數字無線發射電路原理圖

    ` 本帖最后由 gk320830 于 2015-3-5 07:03 編輯 數字無線發射電路原理圖`
    發表于 07-31 22:53

    數字頻率計電路原理圖

    哪位大神有能用數字電路原理圖啊,沒有錯誤,你能夠仿真出結果的 發給我一份好嗎
    發表于 12-18 15:07

    基于DSP28335數字電路原理圖有償請求原理詳述

    基于DSP28335數字電路原理圖有償請求原理詳述這里有幾張基于DSP28335數字電路原理圖,需要用文字進行體現,但對于電路設計得知識不熟
    發表于 07-20 10:59

    長虹倍頻DT2000彩電電路

    長虹倍頻DT2000彩色電視機電路圖,長虹倍頻DT2000彩電圖紙,長虹倍頻DT2000原理圖。
    發表于 05-08 15:10 ?29次下載
    長虹<b class='flag-5'>倍頻</b>DT2000彩電<b class='flag-5'>電路</b>圖

    單片數字鎖相倍頻電路的設計與實現

    采用AT89C2051 單片機設計了一種單片鎖相倍頻電路,利用片內定時器和數字算法實現了對輸入信號的同步鎖相和倍頻,并輸出倍頻信號。實驗結果
    發表于 09-14 15:04 ?80次下載

    高速數字倍頻

    高速數字倍頻
    發表于 04-11 10:18 ?763次閱讀
    高速<b class='flag-5'>數字</b><b class='flag-5'>倍頻</b>器

    數字倍頻

    數字倍頻
    發表于 04-11 10:25 ?1377次閱讀
    <b class='flag-5'>數字</b><b class='flag-5'>倍頻</b>器

    倍頻電路

    倍頻電路
    發表于 05-08 14:28 ?2770次閱讀
    <b class='flag-5'>倍頻</b><b class='flag-5'>電路</b>圖

    倍頻電路

    倍頻電路
    發表于 07-14 17:09 ?3112次閱讀
    <b class='flag-5'>倍頻</b><b class='flag-5'>電路</b>圖

    基于VHDL的數字倍頻器設計

    紹了數字倍頻電路的工作原理,分析了倍頻器產生誤差的原因,然后給出用VHDL語言來實現數字倍頻器的
    發表于 12-07 13:47 ?70次下載
    基于VHDL的<b class='flag-5'>數字</b><b class='flag-5'>倍頻</b>器設計

    碼盤四倍頻電路原理圖免費下載

    本文檔的主要內容詳細介紹的是碼盤四倍頻電路原理圖免費下載。
    發表于 10-25 11:04 ?20次下載
    碼盤四<b class='flag-5'>倍頻</b>的<b class='flag-5'>電路</b><b class='flag-5'>原理圖</b>免費下載

    數字電路如何實現倍頻?

    數字電路如何實現倍頻?? 數字電路是由數字電子器件以及邏輯門電路組成,可以用于處理數字信號或
    的頭像 發表于 09-18 10:37 ?5074次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>