<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

半導體材料的市場格局

旺材芯片 ? 來源:半導體行業觀察 ? 作者:半導體行業觀察 ? 2020-08-31 11:39 ? 次閱讀

半導體材料是半導體產業鏈的重要支撐產業,按應用環節劃分為晶圓制造材料和封裝材料。整個半導體產業鏈主要包括IC的設計、晶圓制造以及封裝測試等環節,半導體材料主要應用在集成電路的制造和封裝測試等領域。集成電路的制造和封測對材料和裝備需求巨大。從材料角度看,涉及到大硅片光刻膠、掩膜版、特種氣體等原材料;從裝備角度看,涉及到光刻機、刻蝕機、PVD、CVD等各種核心設備。而本文主要圍繞晶圓制造材料角度展開。

集成電路產業鏈

半導體材料市場概覽

集成電路生產需要用到包括硅基材、CMP拋光材料、高純試劑(用于顯影、清洗、剝離、刻蝕)、特種氣體、光刻膠、掩膜版、封裝材料等多種電子化學品材料。根據Prismark數據,全球集成電路制造成本中,電子化學品占集成電路制造成本的比重約為20%。

集成電路生產用晶圓制造材料 集成電路晶圓制造流程:6個獨立的生產區構成完整晶圓制造流程 (1)擴散:進行高溫工藝和薄膜淀積的區域,將硅片徹底清洗并進行自然氧化; (2)光刻:對硅片進行預處理、涂膠、曝光、顯影,隨后清洗硅片再次烘干; (3)蝕刻:用高純試劑(氫氟酸、鹽酸等)進行刻蝕,保留設計好的圖案; (4)離子注入:注入離子(磷、硼),高溫擴散,形成集成器件; (5)薄膜生長:進行各個步驟當中介質層和金屬層的淀積; (6)拋光:拋光材料打磨,并再次清洗插入電極等后續處理,進行WAT測試。

晶圓制造材料在半導體制造流程中的應用環節 全球半導體材料市場跟隨半導體市場呈周期波動。根據SEMI數據顯示,2009-2011年,受半導體市場規模持續擴張影響,全球半導體材料迎來快速增長,市場規模由346.4億美元提升至478.8億美元。2012-2017年,半導體材料市場進入震蕩調整階段,市場規模維持在420-470億美元。2018年市場再次迎來爆發,同比2017年提升50億市場規模。2019年,半導體材料市場維持穩定,全球銷售額約為521.1億美元,其中晶圓制造材料約為328億美元,封裝材料約為192億美元。

2008-2019年全球半導體材料市場規模 而在過去幾年,中國半導體材料市場穩步增長。 根據SEMI數據,2009-2019年,中國半導體材料市場從32.6億美元提升至86.9億美元,年均復合增長率(CAGR)達到10%。整體來看,我國半導體材料的國產化率仍處于較低水平,進口替代空間大。此外,隨著國內晶圓廠的投資完成以及本土先進制程推進,國內半導體材料的市場有望持續增長,給本土材料廠商帶來較大的導入機會。

2008-2019年中國半導體材料市場規模(億美元) 從半導體材料市場的具體構成來看,根據SEMI數據,大硅片占比高達38%,電子特氣與掩膜版均占比13%位居次席,其余市場份額由光刻膠、靶材、CMP拋光材料等產品占據。

2018年全球半導體材料市場構成

硅片:半導體材料市場的半壁江山

如上圖所示,硅片是半導體材料中最重要的組成。而晶圓材料的發展歷程大致可分為三代:第一代為鍺、硅為代表;第二代主要是砷化鎵、磷化銦;第三代為氮化鎵、碳化硅等。目前大部分晶圓仍以硅為主要原料。硅晶圓的加工可分為硅提純、拉晶、晶棒測試、外徑研磨、切片等流程。

硅晶圓制造過程 硅晶圓為IC的基底,朝大尺寸方向發展。硅片主要使用在半導體集成電路中,用來制作硅晶圓當成集成電路的基底。按照尺寸大小可分為6英寸、8英寸和12英寸,尺寸越大,加工難度也越大。由于集成電路的集成度越來越高,因此對大尺寸硅片的需求量越來越大。硅片總體需求和集成電路芯片需求高度一致。目前趨勢是6英寸硅片市場份額已經較低,12英寸硅片市場需求強勁,全球范圍內保持快速增長。

全球硅晶圓朝大尺寸方向發展 半導體硅片上游材料為電子級多晶硅,德國wacker、美國hemlock、日本丸紅株式會社等境外企業占據主要市場。國內鑫華半導體、黃河水電已實現穩定量產電子級多晶硅,但產品多用于生產150-200mm(6英寸、8英寸)硅片,更大尺寸硅片的原材料仍主要依靠進口。 半導體硅片下游是各類電子元器件。其中200mm(8英寸)及以下硅片終端應用領域主要為移動通信、汽車電子、物聯網、工業電子等。300mm(12英寸)硅片需求主要來源于智能手機、計算機、云計算、人工智能、SSD(固態存儲硬盤)。

全球硅片出貨面積及單位價格走勢 半導體硅片市場景氣與電子工業需求深度綁定。2009年經濟危機后硅片量價齊跌,2010年由于智能手機放量硅片量價增長有所反彈。2011年至2016年,全球經濟乏力,硅片價格持續下跌,出貨量增長主要由硅片體積增加所致,市場規模略有下降。2017年后受益于下游計算機、移動通信、固態硬盤、工業電子的需求上漲,硅片市場實現量價齊升。

全球硅片市場規模 全球硅片市場巨頭壟斷,中國大陸地區廠商體量較小。 競爭格局方面,信越化學、住友勝高、世創、環球晶圓為全球四家主流供應商,市場合計占比80%以上。中國大陸地區廠商以滬硅產業、中環股份為首,2018年滬硅產業占全球硅片市場2.18%,相比全球硅片巨頭體量尚小。

2018年全球半導體硅片競爭格局 國內硅片廠商加速追趕,滬硅產業12寸硅片一馬當先。目前國內主要有滬硅產業、中環股份、超硅半導體、金瑞泓等企業進入大硅片領域。

國內硅片主要企業產品情況 它山之石:信越化學作為日本有機硅工業“國產技術”的典范,信越化學的成功離不開以下幾個方面的原因。 強大的研發力度和研發能力,信越化學共設有7家研發中心,是研發內生增長的典范。信越化學通過自行生產金屬硅,保障了主原料的穩定性,確立了從原料開始的一貫式生產體制。 國家的大力支持,日本政府在行業發展前期頗具戰略眼光,給予多種優惠政策,通產省1989年制定了160億日元的“硅類高分子材料研究開發基本計劃”支持硅材料的研發,這一計劃為以信越化學為首的有機硅生產企業提供了資金和技術的大力支持。

光刻膠:高壁壘,機會大

光刻膠是利用化學反應進行圖像轉移的媒體,將所需要的微細圖形從掩模版轉移到待加工基片上的圖形轉移介質。光刻膠被廣泛應用于光電信息產業的微細圖形線路的加工制作,是微細加工技術的關鍵性材料。在光刻工藝中,光刻膠被均勻涂布在硅片、玻璃和金屬等不同的襯底上,經曝光、顯影和蝕刻等工序將掩膜版上的圖形轉移到薄膜上,形成與掩膜版完全對應的幾何圖形。

光刻膠應用原理 光刻膠原材料主要為樹脂、溶劑和其他添加劑。其中溶劑質量占比最大,一般在80%以上。其他添加劑質量占比雖不足5%,卻是決定光刻膠特有性質的關鍵材料,包括光敏劑、表面活性劑等材料。光刻膠可根據其下游應用領域分為半導體光刻膠、面板光刻膠和PCB光刻膠三類,半導體光刻膠和面板光刻膠市場規模分別為13.73億美元,15.87億美元。

光刻膠的發展是摩爾定律運行的核心驅動力。半導體工業集成電路的尺寸越來越小,集成度越來越高,并能夠按照摩爾定律向前發展,其內在驅動力就是光刻技術的不斷深入發展。集成電路水平已由微米級(2μm-1μm)、亞微米級(1-0.35μm)、深亞微米級(0.35μm以下)、納米級(90-22nm)甚至進入14-7nm階段。 對光刻膠分辨率等性能要求不斷提高,光刻技術隨著集成電路的發展經歷了從G線(436nm)光刻,H線(405nm)光刻,I線(365nm)光刻,到深紫外線DUV光刻(KrF248nm和ArF193nm)、193nm浸沒式加多重成像技術(32nm-7nm),在到極端紫外線(EUV, <13.5nm)光刻的發展,甚至采用非光學光刻(電子束曝光、離子束曝光),以相應波長為感光波長的各類光刻膠也應用而生。目前,KrF/ArF仍是主流的加工材料。

集成電路光刻膠產品技術路線演化 半導體光刻膠可根據加工芯片的制程從大到小分為g線/i線光刻膠、Krf光刻膠、Arf光刻膠(干法及濕法)和EUV光刻膠。各類光刻膠中雖然各組分含量存在差異,但樹脂含量一般在 20%以下,總體來適用波長越短的光刻膠,其樹脂含量越低,溶劑含量越高。

半導體用光刻膠應用制程及組分 銷售量方面,g線/i線光刻膠是半導體用光刻膠需求主要構成,占比達50%以上,預計2022年需求量將達450立方米以上,KrF、ArF光刻膠2022年需求量預計分別為200.77立方米和103.56立方米。銷售額方面,ArF光刻膠由于技術附加值高,價格昂貴,占據最大銷售份額。

全球半導體用光刻膠銷售額(億美元) 根據富士經濟數據,預計2022年ArF銷售額將達6.74億美元,g線/i線光刻膠和KrF光刻膠銷售額預計可達3.80億美元和3.88億美元。EUV、光刻技術目前尚未普及,僅臺積電和三星掌握,EUV光刻膠市場規模較小。

2018年全球g線/i線光刻膠競爭格局 日本企業在半導體光刻膠領域占據絕對優勢。半導體光刻膠主要生產企業包括日本東京應化、JSR、住友化學、信越化學;韓國東進世美肯;美國陶氏杜邦,其中日本企業占據約70%市場份額。分產品看,東京應化在g線/i線和Krf光刻膠領域居龍頭地位,市場份額分別達到27.5%和32.7%。JSR在Arf光刻膠領域市占率最高,為25.6%。

2018年全球Krf光刻膠競爭格局

2018年全球Arf線光刻膠競爭格局 借鑒日本電子化學品企業TOK發展歷程,其于1979年涉足光刻膠化學品領域,起初為負性光刻膠的銷售, 2000年將TOK半導體成像技術增強型集成光刻膠系統商業化,2009年開始生產ArF,以響應ArF光刻膠需求。在技術積累完成后,TOK采取了外延式布局的策略,將市場滲透到中國臺灣、韓國等地區,其光刻膠業務實現快速增長。 綜合上述分析,光刻膠等技術壁壘極高的行業,實現技術層面的突破是基礎、其次,需不斷改進工藝,滿足半導體行業快速發展的需要。由于光刻膠等行業認證時間較長,客戶不會輕易更換供應商,因此進入主流供應鏈是極其必要的。國內光刻膠生產商未來有望把握中國半導體行業進口替代契機,實現快速發展。

電子特氣:國產替代程度相對較高

電子特種氣體種類繁多,是電子工業重要的原材料之一。電子特氣是指用于半導體及相關電子產品生產的特種氣體,其按不同的應用途徑可以分為摻雜用氣體、外延用氣體、離子注入氣、發光二極管用氣、刻蝕用氣體、化學氣相沉積氣和平衡氣等。在半導體工業中應用的有110余種單元特種氣體,其中常用的有超過30種。

電子特氣分類介紹 集成電路、新型顯示是電子特種氣體主要應用領域。半導體生產中幾乎每個環節都要用到電子特氣,因此被稱為半導體制造的“血液”和“糧食”。電子特氣的純度直接決定了產品的性能、集成度和成品率。電子特氣純度每提高一個數量級,都能推動半導體器件產生質的飛躍。

IC制造各環節所需電子特氣介紹 電子特氣的純度對半導體及相關電子產品的生產至關重要。電子特氣中水汽、氧等雜質組分易使半導體表面形成氧化膜,影響電子器件的壽命,含有的顆粒雜質會造成半導體短路及線路損壞,改變半導體的性能。半導體工業的發展對產品的生產精度要求越來越高。以集成電路制造為例,其電路線寬已經從最初的毫米級,到微米級甚至納米級,對應用于半導體生產的電子特氣純度亦提出了更高的要求。

不同線寬下對應特氣所含顆粒雜質要求 電子特氣廠商外購初級氣體原材料后通過合成、純化、混配、氣瓶處理、充裝、檢測等一系列處理后制成特氣產品。由于特氣原材料具有同質性,在市場上較易取得,特氣企業對供應商的議價能力較強,但受市場供需、經濟周期等因素影響也要承擔一定價格波動風險。

電子特氣產業鏈 電子特氣是僅次于大硅片的第二大晶圓制造材料。2016-2018年,全球用于晶圓制造的電子特氣市場保持10%左右增速,2018年規模達42.5億美元,占晶圓制造材料市場的12.85%。國內電子特氣市場增速高于全球,2018年用于晶圓制造的電子特氣市場規模約72.98億元(10.81億美元)。 與傳統大宗氣體相比,電子氣體行業技術壁壘高,市場集中度高。2018年全球半導體用電子氣體市場中,空氣化工、普萊克斯、林德集團、液化空氣和大陽日酸等五大公司控制著全球90%以上的市場份額,形成寡頭壟斷的局面。在國內市場,境外幾大氣體巨頭控制了80%的市場份額。

2018年全球電子特氣競爭格局

CMP 拋光材料:高技術壁壘,高毛利

拋光液和拋光墊是CMP拋光工藝的關鍵材料。CMP拋光即化學機械拋光,主要應用于藍寶石拋光和集成電路中的硅晶片拋光,是指化學作用和物理作用同時發生的一種新技術,可以避免由單純機械拋光造成的表面損傷和由單純化學拋光造成的拋光速度慢、表面平整度和拋光一致性差等缺點。 CMP拋光是目前唯一可以提供硅片全局平面化的技術。拋光機、拋光液和拋光墊是CMP工藝的三大關鍵要素,由于工藝制程和技術節點不同,每片晶圓在生產過程中都會經歷幾道甚至幾十道CMP拋光工藝,7nm以下邏輯芯片中CMP拋光步驟達到三十步,使用拋光液種類近三十種。 拋光液和拋光墊是易耗品。CMP的工作原理為將硅片放置在拋光墊上,在拋光液(含有納米級SiO2、Al2O3等粒子)的存在下,不斷旋轉,通過粒子的機械研磨和材料的化學反應同時進行,對材料表面進行平整。拋光墊通常由多孔性材料組成,表面有特殊溝槽,從而提高拋光的均勻性,通常拋光墊使用壽命為45至75小時。拋光墊和拋光液是CMP技術中兩種關鍵材料,根據安集科技招股書數據,兩者成本合計占拋光材料總成本的82%。

2016-2018全球CMP拋光材料規模(億美元) 全球拋光材料市場持續高速增長,2001-2018年,全球拋光材料市場規模復合增速達10.13%。根據卡博特官網公開披露數據,2018年全球拋光材料市場達20.1億美元,其中拋光墊市場為12.7億美元,拋光液市場為7.4億美元。預計2022年全球拋光材料市場將達26.1億美元。 其中,拋光墊是一種具有一定彈性且疏松多孔的材料,一般由含有填充材料的聚氨酯構成。拋光墊根據溝槽結構形式不同分為四個類別,每種結構的應用領域各有不同。 拋光墊上游原料為聚氨酯等基礎化工原料,不同拋光墊生產企業根據擁有的專利不同而選擇不同的拋光材料。例如羅門哈斯專注于使用多羥基化合物、多胺、羥基胺等高分子材料設計和生產拋光墊,東麗側重于用尼龍纖維和聚合樹脂等材料生產拋光墊,東陽橡膠則主要關注軟質、硬質聚氨酯。我國拋光墊龍頭企業鼎龍股份生產拋光墊的主要原材料也是聚氨酯,包括聚氨酯彈性體和聚氨酯發泡體等。

拋光墊產業鏈 拋光墊技術壁壘高,認證時間長。拋光墊主要包括聚氨酯拋光墊、無紡布拋光墊、復合型拋光墊等幾種類型產品。由于CMP拋光墊在設計和使用壽命方面不斷改進,技術壁壘極高; 另外,新品測試的流程復雜,認證時間長達1-2年,晶圓廠商為保證有序穩定生產,不輕易更換供應商。目前拋光墊幾乎完全依賴進口,市場由美國陶氏化學(約80%市場份額)、美國卡博特、日本東麗等公司壟斷,產品毛利率在50%以上。我國在拋光墊領域起步較晚,2006年后專利申請數量開始出現顯著增長,占全球比重逐年上升,追趕勢頭迅猛。

2019年全球拋光墊競爭格局 至于CMP拋光液,則是一種由研磨顆粒(如納米SiO2、Al2O3粒子等)、表面活性劑、穩定劑、氧化劑等組成的產品。研磨顆粒提供研磨作用,化學氧化劑提供腐蝕溶解作用。按照研磨顆粒不同,CMP拋光液可分為二氧化硅拋光液、氧化鈰拋光液、氧化鋁拋光液和納米金剛石拋光液等幾大類,其中研磨顆粒為最主要原材料。

拋光液產業鏈 隨著芯片制程不斷精細,對拋光液需求逐漸增加。根據卡博特微電子,當邏輯芯片制程達到5nm時,約25%-30%生產步驟都要用到拋光液。存儲芯片由2D NAND升級到3D NAND后由于結構更復雜,拋光次數增加,且約50%生產步驟需要用到拋光液。技術進步疊加芯片制程精細度提高,將為拋光液需求打開廣闊空間。

2017年拋光液市場份額占比 拋光液市場被境外巨頭壟斷,卡博特微電子、陶氏杜邦、VSM、日本日立、富士美CR5共占據了約78%的市場份額。其中卡博特微電子占比最高達到36%。2019年,卡博特微電子拋光液收入4.6億美元,占公司總收入的44.3%。分區域看,2019年公司在中國收入不足10%(2018年為9725.4萬美元,占公司收入16.48%)。國內廠商由于缺乏獨立自主知識產權和品牌,龐大的國內半導體市場完全被外資產品占據。根據《2018年中國市場CMP拋光液發展研究報告》統計,2017年我國CMP拋光液消費量達2137萬升,預計2025年將達9653萬升,其中超過65.7%來源于境外廠。

高純濕電子化學品:種類繁多,應用廣泛

超凈高純試劑是集成電路制造的關鍵性配套材料之一。超凈高純試劑又稱工藝化學品,是指主體成分純度高于99.99%,雜質離子的微粒數符合嚴格要求的化學試劑,是大規模集成電路和超大規模集成電路制造的關鍵性配套材料,主要用于芯片的清洗、蝕刻等制造領域,其成本約占集成電路(IC)材料成本的7%左右。

濕電子化學品分類 高純濕電子化學品分為通用性濕電子化學品和功能性濕電子化學品兩大類。其中通用濕電子化學品是指在集成電路、液晶顯示器、太陽能電池制造工藝中通用的濕電子化學品,包括酸、堿、有機溶劑、其他四個子類;功能濕電子化學品是指須通過復配手段達到特殊功能、滿足制造中特殊工藝需求的配方類或復配類化學品,主要包括顯影液、剝離液、清洗液、蝕刻液等。 濕電子化學品上游是硫酸、氨水等粗化工品,下游主要用于生產半導體、面板和太陽能電池。三個應用場景對產品的純度等級要求有所不同,太陽能電池領域對純度要求相對較低,僅需達到G1、G2等級。顯示面板領域一般要求達到G2、G3等級。半導體中分立器件對超凈高純試劑等級要求相對較低,基本集中在G2級;集成電路用超凈高純試劑的純度要求最高,中低端領域(8英寸及以下晶圓制程)要求達到G3、G4 水平,部分高端領域(大硅片、12 英寸晶圓制程)要求達到G5等級(10ppt)。

濕電子化學品產業鏈 在半導體領域,半導體用濕電子化學品質量要求最高。使用較多的濕電子化學品包括硫酸、雙氧水等。2014-2018年,我國計算機、消費電子、通信等產業規模持續增長,大大拉動了對集成電路的需求,半導體行業濕電子化學品需求量隨之增長,根據中國電子材料行業協會數據,2020年半導體用濕電子化學化學品需求量將達45萬噸。

2018年半導體用濕電子化學品用量結構 三大集團占據高純濕電子化學品市場主要份額。第一塊市場份額由歐美傳統老牌企業的濕電子化學品產品(包括它們在亞洲開設工廠所創的銷售額)所占領,其市場份額約為35%,主要企業有德國巴斯夫公司、美國亞什蘭集團、美國奧麒化學品公司、美國霍尼韋爾公司等。第二塊約28%的市場份額由日本的十家左右生產企業所擁有,包括關東化學公司、三菱化學、京都化工、日本合成橡膠、住友化學、和光純藥工業等。第三塊市場份額主要是中國臺灣、韓國、中國大陸企業(即內資企業)生產的濕法電子化學品所占,三者合計占有全球市場份額的35%。

2017年全球濕電子化學品競爭格局 它山之石:回顧境外化學試劑行業發達國家企業的經營模式,發展大致可分為三個階段。 第一階段,企業選擇自主經營實現自產自銷,隨著品類及客戶擴大,企業難以滿足客戶的全部試劑需求,行業普遍采用自產和分工合作相結合的生產方式。 第二階段,各企業逐漸在特定領域擴大種類和技術領先優勢,同時客戶對產品的規格和品質要求越來越高,企業逐漸向配套設備、配套試劑和配套服務的方向發展。 第三階段,國際化學試劑大型企業憑借其研發能力、營銷網絡和資金實力,競爭優勢明顯,行業呈現出結盟合作、重組兼并的格局,市場集中度快速提升,如Sigma與Aldrich的聯合,Honeywell收購了Burdick&Jackson 公司,通過集團化合并聯合經營的方式形成合力,進一步擴大市場份額。

靶材:制備薄膜材料的關鍵原料

濺射靶材是制備薄膜材料的關鍵原料。濺射過程需使用離子轟擊固體表面,使靶材中金屬原子以一定能量逸出并在晶圓或其他材料表面沉積,形成一層薄膜以實現導電、保護等功能,被轟擊的固體即為濺射靶材。

濺射靶材分類 濺射靶材的種類較多,即使相同材質的濺射靶材也有不同的規格。以化學成分分類,包括應用于制作導電層具有良好導電性能銅、鋁、ITO、ZAO;鉭、鈦等靶材用于制作阻擋層,保護導電層不受侵蝕和氧化。鎳鉑合金、鎢鈦合金、鈷靶材用于制作接觸層,與硅層生成薄膜提供與外部連接的接點。目前芯片制造工藝在180-130nm之間主要用鋁及鋁合金靶材作為導電層,90-65 nm主要應用銅靶材。 45-28nm主要使用純銅鋁和銅錳合金靶材。當芯片制程在20nm以下,尤其是小于7nm時,鈷靶材在填滿能力、抗阻力和可靠度三方面優勢明顯。 靶材上游是各類高純金屬,主要由霍尼韋爾、三菱材料、世泰科等境外企業供應。國內方鉭業有一定高純鉭供應能力,2014-2016年躋身于江豐電子前五大供應商。靶材下游是集成電路、面板、光伏電池和磁記錄行業,不同領域對靶材純度的要求不同,光伏和磁記錄要求靶材純度為4N(99.99%),面板領域為5N(99.999%),集成電路領域為5.5N和6N(99.9995%和99.9999%)

靶材產業鏈 全球靶材市場呈現寡頭競爭格局,日礦金屬、霍尼韋爾、東曹和普萊克斯四家企業占據80%市場份額。國內企業中阿石創、隆華科技、有研新材和江豐電子靶材生產體量較大。其中阿石創、隆華科技產品主要用于面板、觸控。江豐電子產品在半導體、太陽能光伏和面板領域均有覆蓋,有研新材主要生產半導體靶材。 阿石創:阿石創在面板領域主要生產鉬、鋁、銅、鈦及ITO靶材,產品除面板、觸控外還應用于光學器件、太陽能光伏和汽車/建筑玻璃鍍膜等領域。開拓了華星光電、彩虹光電、中電熊貓等客戶。 隆華科技:隆華科技通過收購四豐電子切入鉬靶材領域,相關產品在面板領域認可度較高,客戶包括三星、LG、京東方、華星光電等知名公司;通過收購廣西晶聯切入ITO靶材行業,目前已實現G8.5代產品穩定供貨,首套G10.5產品于今年6月交付。公司目前總共擁有鉬靶材產能500噸/年,ITO靶材產能70噸/年。 江豐電子:江豐電子是國內最大半導體芯片用靶材生產商,目前已可量產用于90-7nm半導體芯片的鉭、銅、鈦、鋁靶材,其中鉭靶材在臺積電7nm芯片中已量產,5nm技術節點產品也已進入驗證階段。公司客戶包括中芯國際、臺積電、格羅方德等知名半導體生產廠商。 有研新材:有研新材半導體用8-12英寸鋁、鈦、銅、鈷、鉭靶材已通過客戶驗證并批量供貨,客戶覆蓋中芯國際、大連intel、臺積電、聯電、北方華創等芯片制造和設備企業。截止2019年底尚有驗證階段產品100余種。 阿石創:阿石創在面板領域主要生產鉬、鋁、銅、鈦及ITO靶材,產品除面板、觸控外還應用于光學器件、太陽能光伏和汽車/建筑玻璃鍍膜等領域。開拓了華星光電、彩虹光電、中電熊貓等客戶。 隆華科技:隆華科技通過收購四豐電子切入鉬靶材領域,相關產品在面板領域認可度較高,客戶包括三星、LG、京東方、華星光電等知名公司;通過收購廣西晶聯切入ITO靶材行業,目前已實現G8.5代產品穩定供貨,首套G10.5產品于今年6月交付。公司目前總共擁有鉬靶材產能500噸/年,ITO靶材產能70噸/年。 江豐電子:江豐電子是國內最大半導體芯片用靶材生產商,目前已可量產用于90-7nm半導體芯片的鉭、銅、鈦、鋁靶材,其中鉭靶材在臺積電7nm芯片中已量產,5nm技術節點產品也已進入驗證階段。公司客戶包括中芯國際、臺積電、格羅方德等知名半導體生產廠商。 有研新材:有研新材半導體用8-12英寸鋁、鈦、銅、鈷、鉭靶材已通過客戶驗證并批量供貨,客戶覆蓋中芯國際、大連intel、臺積電、聯電、北方華創等芯片制造和設備企業。截止2019年底尚有驗證階段產品100余種。

國內靶材主要企業產品情況和公司 、產品借助此文,希望能幫助大家對半導體材料市場有更深入的了解。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 半導體
    +關注

    關注

    329

    文章

    25076

    瀏覽量

    204103
  • 半導體材料
    +關注

    關注

    11

    文章

    423

    瀏覽量

    29199

原文標題:熱點 | 半導體材料全球格局

文章出處:【微信號:wc_ysj,微信公眾號:旺材芯片】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    艾森半導體成功上市!開盤漲超114%,募資6.18億擴產半導體材料

    半導體市場機遇快速成長。 今天艾森半導體正式成為昆山市第9家科創板上市企業,上市后將為企業未來發展,提供非常強勁的資本加持。在上市儀式上,艾森半導體董事長張兵也表示,“充分發揮資本
    的頭像 發表于 12-07 00:11 ?2539次閱讀
    艾森<b class='flag-5'>半導體</b>成功上市!開盤漲超114%,募資6.18億擴產<b class='flag-5'>半導體</b><b class='flag-5'>材料</b>

    半導體材料簡介 半導體材料的電特性詳解

    要聊的就是這個特殊的材料——半導體。半導體幾乎撐起了現代電子技術的全部,二極管,晶體管以及IC都是由半導體材料制成。在可預見的未來,它們是大
    的頭像 發表于 12-06 10:12 ?868次閱讀
    <b class='flag-5'>半導體</b><b class='flag-5'>材料</b>簡介 <b class='flag-5'>半導體</b><b class='flag-5'>材料</b>的電特性詳解

    半導體設備市場向好,應用材料Q4繼續保持增長

    電子發燒友網報道(文/周凱揚)由于今年半導體市場復雜多變的格局,上下游也呈現出不同的樣貌,尤其是半導體設備市場。近日,
    的頭像 發表于 12-04 06:51 ?1129次閱讀

    淺析現代半導體產業中常用的半導體材料

    半導體材料半導體產業的核心,它是制造電子和計算機芯片的基礎。半導體材料的種類繁多,不同的材料
    發表于 11-29 10:22 ?771次閱讀
    淺析現代<b class='flag-5'>半導體</b>產業中常用的<b class='flag-5'>半導體</b><b class='flag-5'>材料</b>

    半導體材料檢測有哪些種類?測試半導體材料有哪些方法?

    半導體材料是制作半導體器件與集成電路的基礎電子材料。隨著技術的發展以及市場要求的不斷提高,對于半導體
    的頭像 發表于 11-10 16:02 ?1037次閱讀

    全球半導體格局悄然生變

    產業,確保技術的獨立性和安全性,全球半導體格局正在被重塑。地緣政治的變化不僅可能影響供應鏈、原材料的獲取和產能的分布,還會對全球的科技戰略布局帶來影響。這種轉變意味
    的頭像 發表于 10-21 08:11 ?475次閱讀
    全球<b class='flag-5'>半導體格局</b>悄然生變

    2021年半導體投資定了哪些格局?

    2021年半導體行業投融仍然活躍,電子發燒友共統計到350筆融資,其中也有些企業一年之中數次獲得融資,融資情況覆蓋產業鏈的各個環節,從IC設計、制造、封測,到半導體材料、設備、IDM等,其中IC設計的占比做多,其次是
    發表于 10-18 15:00 ?3次下載
    2021年<b class='flag-5'>半導體</b>投資定了哪些<b class='flag-5'>格局</b>?

    什么是半導體材料的壓阻效應?

    什么是半導體材料的壓阻效應? 半導體材料是現代電子技術的關鍵材料之一。它們具有獨特的電學性能,包括可調的電阻率和壓阻效應。壓阻效應是指
    的頭像 發表于 09-19 15:56 ?2165次閱讀

    半導體先進封測設備及市場研究

    半導體行業呈現垂直化分工格局,上游包括半導體材料、半導體制造設備等;中游為半導體生產,具體可劃分
    的頭像 發表于 08-29 16:24 ?905次閱讀
    <b class='flag-5'>半導體</b>先進封測設備及<b class='flag-5'>市場</b>研究

    半導體封測設備有哪些 半導體制造流程詳解

    半導體行業呈現垂直化分工格局,上游包括半導體材料、半導體制造設備等;中游為半導體生產,具體可劃分
    發表于 08-29 09:48 ?2482次閱讀
    <b class='flag-5'>半導體</b>封測設備有哪些 <b class='flag-5'>半導體</b>制造流程詳解

    半導體材料概述

    半導體材料作為半導體產業鏈上游的重要環節,在芯片的生產制造過程中起到關鍵性作用。根據芯片制造過程劃分,半導體材料主要分為基體
    的頭像 發表于 08-14 11:31 ?1437次閱讀

    什么是半導體材料?半導體材料的發展之路

    半導體材料是制作半導體器件和集成電路的電子材料,是半導體工業的基礎。利用半導體
    的頭像 發表于 08-07 10:22 ?2637次閱讀
    什么是<b class='flag-5'>半導體</b><b class='flag-5'>材料</b>?<b class='flag-5'>半導體</b><b class='flag-5'>材料</b>的發展之路

    半導體材料的發展歷程

    第一代半導體材料以錯和硅為主。
    的頭像 發表于 07-17 11:22 ?1480次閱讀

    GaN功率半導體在快速充電市場的應用

    GaN功率半導體在快速充電市場的應用(氮化鎵)
    發表于 06-19 11:00

    SEMI:全球半導體材料市場最新排名,大陸第二

    2022年全球半導體材料市場收入達到727億美元。
    的頭像 發表于 06-16 09:08 ?860次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>