<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>

您好,歡迎來電子發燒友網! ,新用戶?[免費注冊]

您的位置:電子發燒友網>電子元器件>芯片引腳圖>

DAC0808中文資料匯總(dac0808引腳圖及功能_工作原理及應用電路)

2018年04月09日 14:55 網絡整理 作者: 用戶評論(0

DAC0808引腳圖

DAC0808是8位數模轉換集成芯片,電流輸出,穩定時間為150ns,驅動電壓±5V,33mW。DAC0808可以直接和TTL,DTL和CMOS邏輯電平相兼容。

DAC0808中文資料匯總(dac0808引腳圖及功能_工作原理及應用電路)

引腳功能

A1—A8:8位并行數據輸入端(A1為最高位,A8為最低位)

VREF(+):正向參考電壓(需要加電阻

VREF(-):負向參考電壓,接地

IOUT:電流輸出端

VEE:負電壓輸入端

COMP:compensation(補償),補償端,與VEE之間接電容(R14=5kΩ時,(R14為14引腳的外接電阻),一般為0.1uF,電容必須隨著R14的增加而適當增加)

GND:接地端,VCC:電源端,在proteus中都已隱藏

DAC0808應用電路(一)

DAC0808中文資料匯總(dac0808引腳圖及功能_工作原理及應用電路)

如圖所示,VEE接-5V電壓,COMP端與VEE之間接0.1uF電容,VREF(+)通過5K電阻接+5V電源,VREF(-)接地。輸出端IOUT連接運算放大器反向輸入端。運算放大器同相輸入端接地。

DAC0808應用電路(二)

如下圖所示,運行本例時,按下K1~K8中的某個鍵,單片機會向DAC0808芯片輸出0x00~0xff之間的8個不同數值,經轉換后會輸出8擋不同電壓。

DAC0808中文資料匯總(dac0808引腳圖及功能_工作原理及應用電路)

C程序如下:

#include 《reg51.h》

#define uchar unsigned char

#define uint unsigned int

void delay(uint x)

{uchar i;

while(x--)

for(i=0;i《120;i++);

}

void main()

{P0=0X00;

while(1)

{if(P3==0XFE)P0=0;

if(P3==0XFD)P0=35;

if(P3==0XFB)P0=70;

if(P3==0XF7)P0=105;

if(P3==0XEF)P0=140;

if(P3==0XDF)P0=175;

if(P3==0XBF)P0=210;

if(P3==0X7F)P0=255;

delay(2);

}

}

proteus仿真運行結果如下:

DAC0808中文資料匯總(dac0808引腳圖及功能_工作原理及應用電路)

DAC0808應用電路(三)

DAC0808中文資料匯總(dac0808引腳圖及功能_工作原理及應用電路)

DAC0808應用電路(四)

DAC0808實現LED亮度調節電路圖

首先是左邊的控制部分。

DAC0808中文資料匯總(dac0808引腳圖及功能_工作原理及應用電路)

下面用了PULLDOWN,因為這個東西用起來很方便,不用考慮阻值,只考慮電平特性。

正如前面發現的PULLUP一樣。

右邊的圖是

DAC0808中文資料匯總(dac0808引腳圖及功能_工作原理及應用電路)

主要還是參照典型電路把DAC0808接好。

最右邊這個接地電阻。。其實是比較重要的東西。。一開始居然忘了。。

搞得電壓探針測出來的值一直有問題,怎么換開關都是2點幾的樣子。。

因為LED導通后,把電壓鉗在那里了。

非常好我支持^.^

(151) 66.5%

不好我反對

(76) 33.5%

( 發表人:金巧 )

      發表評論

      用戶評論
      評價:好評中評差評

      發表評論,獲取積分! 請遵守相關規定!

      ?
      亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
      <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
      <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
      <acronym id="s8ci2"></acronym>
      <acronym id="s8ci2"><center id="s8ci2"></center></acronym>